fbpx
Wikipedia

AMD Opteron

Opteron es una línea de microprocesadores x86 de AMD para servidores y estaciones de trabajo, y fue el primer microprocesador con arquitectura x86 que usó el conjunto de instrucciones AMD64, también conocido como x86-64. Fue lanzado el 22 de abril de 2003 con el núcleo SledgeHammer (K8) y estaba orientado a competir en el mercado de servidores y workstations, particularmente en el segmento del procesador Xeon de Intel. Los procesadores basados en la arquitectura AMD K10 (Barcelona) fueron anunciados el 10 de septiembre de 2007, incorporando una nueva configuración de cuatro núcleos. El más reciente lanzamiento de los procesadores Opteron es la serie procesadores Opteron 4300 y 6300 ("Seoul" y "Abu Dhabi" respectivamente) basados en la arquitectura Piledriver.

AMD Opteron

AMD Opteron 2212
Información
Tipo marca registrada
Fabricante
  • AMD
Fecha de lanzamiento abril de 2003
Descontinuación presente
Datos técnicos
Frecuencia de reloj de CPU

1,4 GHz — 3,3

GHz
Velocidad HyperTransport 800MHz — 3200MHz
Longitud del canal MOSFET 130 nm — 32 nm
Conjunto de instrucciones x86-64
Número de núcleos 1, 2, 4, 6, 8, 12 y 16
Se conecta a
Zócalo(s)
Cronología
AMD Opteron
Epyc y AMD Ryzen Threadripper

Descripción técnica

Dos capacidades clave

Opteron combina dos importantes capacidades en un solo procesador:

  1. Ejecución nativa de aplicaciones x86 32-bit sin pérdida de rendimiento
  2. Ejecución nativa de aplicaciones x86-64 64-bit

La primera característica es notable debido que al momento de la introducción del Opteron, la única arquitectura de 64-bit disponible en el mercado con compatibilidad x86 32-bit (Itanium de Intel) corría aplicaciones nativas x86 solo con una importante merma de la velocidad. La segunda capacidad, por sí misma, es menos importante, debido a que arquitecturas RISC mayores (como SPARC, Alpha, PA-RISC, PowerPC, MIPS) son de 64 bit desde hace muchos años. Con la combinación de estas dos capacidades, sin embargo, el Opteron ganó el reconocimiento por su capacidad para ejecutar, en forma económica, la gran base de aplicaciones x86 instalada, al mismo tiempo que ofrece una vía para actualizar los sistemas a 64 bits.

Los procesadores Opteron poseen controlador de memoria integrado soportando DDR SDRAM, DDR2 SDRAM o DDR3 SDRAM (dependiendo de la generación del procesador). Esto elimina la latencia para acceder a la RAM principal y elimina la necesidad de un circuito integrado separado para el puente norte.

Características de multiprocesamiento

 
Opteron "Barcelona" de cuatro núcleos.
 
Opteron "Istanbul" de seis núcleos.

En sistemas multiproceso (más de un Opteron en una sola placa madre), las CPU se comunican usando Direct Connect Architecture sobre enlaces HyperTransport de alta velocidad. Cada CPU puede acceder a la memoria principal del otro procesador, siendo esto transparente para el programador. La forma en que el Opteron realiza el multiprocesamiento no es igual al multiprocesamiento simétrico; en lugar de tener un banco de memoria para todas las CPU, cada CPU tiene su propia memoria. Por lo tanto, el Opteron es de arquitectura Non-Uniform Memory Access (NUMA). La CPU Opteron soporta una configuración de hasta 8 vías en servidores de nivel medio. En servidores de nivel empresario se utilizan circuitos integrados router adicionales (y caros) para soportar más de 8 CPU por caja.

En varios benchmark, el Opteron ha demostrado poseer una mejor escalabilidad multiprocesamiento que el Intel Xeon.[1]​ Esto se debe principalmente a que, al agregar un procesador Opteron adicional, se incrementa el ancho de banda de la memoria, lo cual no siempre es el caso de los sistemas basados en el Xeon, y al hecho de que los Opteron utilizan conmutadores en lugar de un bus compartido. En particular, el controlador de memoria integrado del Opteron permite a la CPU acceder a la memoria RAM local rápidamente. En contraste, las CPU Xeon en un sistema multiprocesador comparten solo dos buses para comunicaciones procesador-procesador y procesador-memoria. Cuando se incrementa la cantidad de CPU en un sistema Xeon típico, la contención de los buses compartidos causa una caída en la eficiencia del sistema. Intel está migrando a una arquitectura de memoria similar a la del Opteron para la familia Intel Core i7 y sus derivados Xeon.

Opterons multinúcleos

AMD introdujo sus primeros Opterons multinúcleos en abril de 2005. En esa época, AMD usaba el término multinúcleo como sinónimo de doble núcleo; cada Opteron físico contiene dos núcleos de procesamiento. Esto efectivamente duplica la capacidad de procesamiento disponible en cada zócalo de procesador de la placa madre. Cada zócalo puede entregar el rendimiento de dos procesadores, dos zócalos pueden entregar el rendimiento de cuatro procesadores, y así sucesivamente. Debido a que el costo de la placa madre se incrementa notablemente a medida que se le agregan zócalos para CPU, los procesadores multinúcleo permiten construir sistemas multiprocesador a bajo costo.

El esquema de los números de modelos de AMD ha cambiado un poco con la nueva línea de multinúcleos. Cuando fueron introducidos, el Opteron multinúcleo más rápido de AMD era el modelo 875, con dos núcleos corriendo a 2,2 GHz cada uno. El Opteron de un solo núcleo más rápido era el modelo 252, corriendo a 2,6 GHz. Para aplicaciones multihilo, o varias aplicaciones de un solo hilo, el modelo 875 podía correr mucho más rápido que el modelo 252.

Los Opteron de segunda generación son ofrecidos en tres series: la serie 1000 (solo un zócalo, es decir, solo un procesador en la placa madre), la serie 2000 (para dos zócalos, dos procesadores en la placa madre) y la serie 8000 (cuatro u ocho zócalos). La serie 1000 utilizan el zócalo AM3. Las series 2000 y 8000 utilizan el zócalo F.[2]

AMD anunció sus procesadores de cuatro núcleos de tercera generación en septiembre de 2007,[3][4]​ anunciando los vendedores de hardware sus servidores el mes siguiente. Basado en el diseño del núcleo denominado Barcelona, se planificaron nuevas técnicas de control de energía y temperatura para los chip. La primeras plataformas basadas en el doble núcleo DDR2 eran actualizables a los microprocesadores de cuatro núcleos.[5]​ La cuarta generación fue anunciada en junio de 2009 con el Istanbul de seis núcleos. Se introdujo el HT Assist, un directorio adicional para la ubicación de los datos, lo que reduce el consumo para el sondeo y emisiones. HT Assist utiliza 1 MB de caché L3 por CPU cuando se activa.[6]

En marzo de 2010 AMD lanzó la serie de CPU Opteron 6100 Magny-Cours para el zócalo G34. Estas CPU para módulos multichip de 8 y 12 núcleos consisten en dos matrices de 4 u 8 núcleos con un enlace HyperTransport 3.1 enlazando ambas. Estas CPUs actualizaron las plataformas Opteron multi-zócalo para usar memorias DDR3 e incrementando la velocidad máxima del enlace HyperTransport de 2,4 GHz (4,8 GT/sec), para las CPU Istanbul, a 3,2 GHz (6,4 GT/sec.)

AMD cambió el esquema de nombres para sus modelos Opteron. La serie Opteron 4000 en zócalo C32 (lanzada en julio de 2010) soportan doble zócalo y está orientada a sistemas mono-procesador y bi-procesador. La serie Opteron 6000 en zócalo G34 soporta cuádruple zócalo y está orientada a sistemas de alta gama bi-procesador y tetra-procesador.

Socket 939

AMD lanzó el Opteron para el zócalo 939, reduciendo el costo de las placas madre para servidores y workstations de gama baja. Excepto por el hecho de que tienen caché L2 de 1 MB (contra los 512 KB del Athlon64), el Opteron para zócalo 939 es idéntico al Athlon 64 con núcleo San Diego y Toledo, pero corren a velocidad menores de las que soportan, siendo de esta manera más estables.

Socket AM2

Los Opteron para zócalo AM2 están disponibles para servidores pero solo en configuración mono-procesador. Los Opteron de doble núcleo denominados Santa Ana, rev. F, poseen caché nivel L2 de 2×1 MB, al contrario que la mayoría de sus primos Athlon 64 X2, los cuales poseen caché L2 de 2x512 KB. Estas CPU tienen números de modelo de 1210 a 1224.

Socket AM2+

AMD introdujo los Opteron de cuatro núcleos para zócalo AM2+ para servidores monoprocesador en 2007. Estas CPU son fabricadas con proceso de 65 nm y son similares a los Phenom X4 Agena. Los Opteron de cuatro núcleos para AM2+ son denominados "Budapest". Estos Opterons llevan los números de modelo 1352 (2,1 GHz), 1354 (2,2 GHz) y 1356 (2,3 GHz.)

Socket AM3

AMD introdujo los Opteron de cuatro núcleos para zócalo AM3 para servidores monoprocesador en 2009. Estas CPU son fabricadas con proceso de 45 nm y son similares a los Phenom II X4 Deneb. Los Opteron de cuatro núcleos para zócalo AM3 son denominados "Suzuka." Estos Opteron llevan los números de modelo 1381 (2,5 GHz), 1385 (2,7 GHz) y 1389 (2,9 GHz.)

Socket F

El zócalo F (LGA de 1207 contactos) es la segunda generación de zócalo para los Opteron de AMD. Este zócalo soporta los procesadores Santa Rosa, Barcelona, Shanghái e Estambul. El zócalo “Lidded land grid array” agrega soporte para memoria DDR2 SDRAM conectividad mejorada HyperTransport versión 3. El zócalo y el encapsulado del microprocesador son físicamente idénticos, aunque no compatibles, al zócalo 1207 FX.

Socket G34

El zócalo G34 (LGA de 1944 contactos) es uno de los zócalos de tercera generación de Opteron, junto con el zócalo C32. Este zócalo soporta en las series de procesadores Opteron 6100 Magny-Cours, Opteron 6200 Interlagos basada en Bulldozer y Opteron 6300 "Abu Dhabi" basada en Piledriver. Este zócalo soporta cuatro canales de memoria DDR3 SDRAM (dos por matriz de CPU). Al contrario de los zócalos de Opteron multi-procesador, las CPU para zócalo G34 funcionan con RAM unbuffered ECC o no-ECC, además de la tradicional RAM ECC.

Socket C32

El zócalo C32 (LGA de 1207 contactos) es el otro miembro de los zócalos Opteron de tercera generación. Este zócalo es simialr al zócalo F pero no es compatible con éste. El zócalo C32 usa SDRAM DDR3 y es diferente para prevenir que se inserte una CPU de zócalo F que usa solo memoria DDR2. Al igual que el G34, el zócalo C32 funciona con RAM unbuffered ECC o no-ECC, además de la tradicional RAM ECC.

Actualización de la micro-arquitectura

La línea Opteron vio una actualización con la implementación de la micro-arquitectura AMD K10. Los nuevos procesadores, lanzado en el tercer trimestre de 2007 (llamado Barcelona), incorporó varias mejoras, particularmente en la prerrecuperación de datos de la memoria, carga especulativa, ejecución SIMD y predicción de la rama, dando como resultado una mejora apreciable sobre los Opteron basados en K8, con el mismo consumo de corriente.[7]

Mientras tanto, AMD también ha utilizado un nuevo esquema para caracterizar el consumo de energía de los nuevos procesadores según el consumo diario "promedio", llamado average CPU power (ACP, o potencia media de la CPU).

Socket FT3

Las APU Opteron X1150 y Opteron X2150 se usan con BGA-769 o Socket FT3.[8]

Modelos

Los Opteron para Socket 940 y Socket 939 tienen un número de modelo de tres dígitos, en la forma Opteron XYY. Para los Opteron para Socket F y Socket AM2, cada procesador tiene un número de modelo de cuatro dígitos, en la forma Opteron XZYY. Para la primera, segunda y tercera generación de Opteron, el primer dígito (el X) especifica el número de CPU en la máquina a la cual está destinada:

  • 1 - diseñado para sistemas con un procesador.
  • 2 - diseñado para sistemas con dos procesadores.
  • 8 - diseñado para sistemas con cuatro u ocho procesadores.

Los Opteron Socket F y Socket AM2, el segundo dígito (la Z) representa la generación del procesador. Actualmente, sólo se usa 2 (doble núcleo, DDR2), 3 (cuatro núcleos, DDR2) y 4 (seis núcleos, DDR2).

Los Opteron para Socket C32 y G34 usan un esquema de numeración de cuatro dígitos. El primer dígito se refiere a la cantidad de CPU en la máquina a la cual está destinado.

  • 4 - Diseñado para sistemas con uno y dos procesadores.
  • 6 - Diseñado para sistemas con dos y cuatro procesadores.

Al igual que en los Opteron de segunda y tercera generación, el segundo número indica la generación del procesador. "1" se refiere a unidades basadas en AMD K10 (Magny-Cours y Lisbon), "2" se refiere unidades Bulldozer Interlagos, Valencia y Zurich, y "3" se refiere a unidades basadas en Piledriver Abu Dhabi, Seoul y Delhi.

Para todos los Opteron, los dos últimos dígitos en el número de modelo (el YY) indica la frecuencia de reloj de la CPU, un número más alto indica una frecuencia de reloj más alta. Esta velocidad es comparable a los procesadores de la misma generación si tienen el mismo número de núcleos; los de un núcleo y los de doble núcleo están indicados de otra manera a pesar de que tienen la misma frecuencia de reloj.

El sufijo HE o EE indica que es un modelo de alta eficiencia y bajo consumo (Hhigh-Efficiency, Energy-Efficiency) teniendo un TDP más bajo que el Opteron estándar. El sufijo SE indica unmodelo tope-de-línea con un TDP mayor que el Opteron estándar.

Al comenzar con el proceso de fabricación de 65 nm, los nombres en código de los Opteron están basados en las ciudades que fueron sede de las carreras de Fórmula 1. AMD ha patrocinado por un largo tiempo al equipo más exitoso de la Fórmula 1, Ferrari.

Procesadores de la familia AMD Opteron
Nombre Proceso Fecha Núcleos
SledgeHammer
Venus
Troy
Athens
130 nm
90 nm
90 nm
90 nm
junio de 2003
agosto de 2005
enero de 2006
enero de 2006
1
Denmark
Italy
Egypt
Santa Ana
Santa Rosa
90 nm
90 nm
90 nm
90 nm
90 nm
marzo de 2006
mayo de 2006
junio de 2006
agosto de 2006
agosto de 2006
2
Barcelona
Budapest
Shanghái
65 nm
65 nm
45 nm
septiembre de 2007
abril de 2008
noviembre de 2008
4
Estambul 45 nm enero de 2009 6
Lisbon 45 nm enero de 2010 4,6
Magny-Cours 45 nm marzo de 2010 8,12
Valencia 32 nm noviembre de 2011 6,8
Interlagos 32 nm noviembre de 2011 4,8,12,16
Zúrich 32 nm marzo de 2012 4, 8
Abu Dhabi 32 nm noviembre de 2012 4,8,12,16
Delhi 32 nm diciembre de 2012 4, 8
Seoul 32 nm diciembre de 2012 4, 6, 8
Anexo:Procesadores AMD Opteron

Opteron (130 nm SOI)

Un núcleo – SledgeHammer (1yy, 2yy, 8yy)
  • CPU-Steppings: B3, C0, CG
  • Caché L1: 64 + 64 KB (Datos + Instrucciones)
  • Caché L2: 1024 KB, máxima velocidad
  • MMX, Extended 3DNow!, SSE, SSE2, AMD64
  • Socket 940, 800 MHz HyperTransport
  • DDR SDRAM registrada requerida para socket 940, posible ECC
  • VCore: 1,5 V - 1,55 V
  • Máx. consumo (TDP): 89 W
  • Primer lanzamiento: 22 de abril de 2003
  • Multiplicación de reloj 1,4–2,4 GHz (x40 - x50)

Opteron (90 nm SOI, DDR)

Un núcleo – Venus (1yy), Troy (2yy), Athens (8yy)
Doble núcleo – Denmark (1yy), Italy (2yy), Egypt (8yy)

Opteron (90 nm SOI, DDR2)

Doble núcleo – Santa Ana (12yy), Santa Rosa (22yy, 82yy)

Opteron (65 nm SOI)

4 núcleos – Barcelona (23xx, 83xx) 2360/8360 e inferiores, Budapest (13yy) 1356 e inferiores
  • CPU-Steppings: BA, B3
  • Caché L1: 64 + 64 KB (Data + Instructions) per core
  • Caché L2: 512 KB, máxima velocidad por núcleo
  • Caché L3: 2048 KB, compartida
  • MMX, Extended 3DNow!, SSE, SSE2, SSE3, AMD64, SSE4a
  • Socket F, Socket AM2+, HyperTransport 3.0 (1,6 GHz-2 GHz)
  • DDR2 SDRAM registrada requerida, posible ECC
  • VCore: 1,2 V
  • Máx. consumo (TDP): 95 Watts
  • Bit NX
  • Virtualización AMD-V de segunda generación con Rapid Virtualization Indexing (RVI)
  • Split power plane dynamic power management
  • Primer lanzamiento: September 10, 2007
  • Multiplicación de reloj: 1,7–2,5 GHz

Opteron (45 nm SOI)

4 núcleos – Shanghai (23xx, 83xx) 2370/8370 e inferiores, Suzuka (13yy) 1381 e inferiores
  • CPU-Steppings: C2
  • Caché L3: 6 MB, compartida
  • Multiplicación de reloj: 2,3–2,9 GHz
  • HyperTransport 1.0, 3.0
  • Reducción de un 20% del consumo con el procesador desocupado [2]
  • Soporte para memoria DDR2 800 MHz (Socket F)[3]
  • Soporte para memoria DDR3 1333 MHz (Socket AM3)
6 núcleos – Istanbul (24xx, 84xx)

Comercializado el 1 de enero de 2009.

  • CPU-Steppings: D0
  • Caché L3: 6 MB, compartida
  • Multiplicación de reloj: 2,2–2,8 GHz
  • HyperTransport 3.0
  • HT Assist
  • Soporte para memoria DDR2 800 MHz [4]
ocho núcleos – Magny-Cours MCM (6124-6140)

Comercializado 29 de marzo de 2010.

  • CPU-Steppings: D1
  • Módulo multi-chip, consistente en dos CI de cuatro núcleos
  • Caché L2: 8x512 KB
  • Caché L3: 2x6 MB, compartida
  • Multiplicación de reloj: 2,0–2,6 GHz
  • Cuatro HyperTransport de 3,1 at 3,2 GHz (6,4 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1333 MHz
  • Socket G34
12 núcleos – Magny-Cours MCM (6164-6180SE)

Comercializado el 29 de marzo de 2010

  • CPU-Steppings: D1
  • Módulo multi-chip, consistente en dos CI de seis núcleos
  • Caché L2: 12x512 KB
  • Caché L3: 2x6 MB, compartida
  • Multiplicación de reloj: 1,7–2,5 GHz
  • Cuatro HyperTransport de 3,1 at 3,2 GHz (6,4 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1333 MHz
  • Socket G34
4 núcleos – Lisbon (4122, 4130)

Comercializado el 23 de junio de 2010

  • CPU-Steppings: D0
  • Caché L3: 6 MB
  • Multiplicación de reloj: 2,2 GHz (4122), 2,6 GHz (4130)
  • Dos enlaces HyperTransport a 3,2 GHz (6,40 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1333 MHz
  • Socket C32
6 núcleos – Lisbon (4162-4184)

Comercializado el 23 de junio de 2010

  • CPU-Steppings: D1
  • Caché L3: 6 MB
  • Multiplicación de reloj: 1,7-2,8 GHz
  • Dos enlaces HyperTransport a 3,2 GHz (6,40 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1333 MHz
  • Socket C32

Opteron (32 nm SOI) - Microarquitectura Bulldozer

4 núcleos - Zurich (3250-3260)

Comercializado el 20 de marzo de 2012.

  • CPU-Steppings: B2
  • Un solo CI con un solo procesador Bulldozer
  • Caché L2: 2x2 MB
  • Caché L3: 4 MB
  • Multiplicación de reloj: 2,5 GHz (3250) - 2,7 GHz (3260)
  • HyperTransport 3 (5,2 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1333 MHz
  • Soporte para Turbo CORE, hasta 3,5Ghz (3250), hasta 3,7Ghz (3260)
  • Soporta configuraciones de procesador único solamente
  • Socket AM3+
8 núcleos - Zurich (3280)

Comercializado el 20 de marzo de 2012.

  • CPU-Steppings: B2
  • Un solo CI con un solo procesador Bulldozer
  • Caché L2: 4x2 MB
  • Caché L3: 8 MB
  • Multiplicación de reloj: 2,4 GHz
  • HyperTransport 3 (5,2 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1866 MHz
  • Soporte para Turbo CORE, hasta 3,5Ghz
  • Soporta configuraciones de procesador único solamente
  • Socket AM3+
6 núcleos - Valencia (4226-4238)

Comercializado el 14 de noviembre de 2011.

  • CPU-Steppings: B2
  • Un solo CI conteniendo tres módulos Bulldozer de doble núcleo
  • Caché L2: 6 MB
  • Caché L3: 8 MB, compartida
  • Multiplicación de reloj: 2,7-3,3 GHz (hasta 3,1-3,7 GHz con Turbo CORE)
  • Dos enlaces HyperTransport 3.1 a 3,2 GHz (6,40 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1866 MHz
  • Soporte para Turbo CORE
  • Soporta configuraciones de doble procesador
  • Socket C32
8 núcleos - Valencia (4256 HE-4284)

Comercializado el 14 de noviembre de 2011.

  • CPU-Steppings: B2
  • Un solo CI conteniendo cuatro módulos Bulldozer de doble núcleo
  • Caché L2: 8 MB
  • Caché L3: 8 MB, compartida
  • Multiplicación de reloj: 1,6-3,0 GHz (hasta 3,0-3,7 GHz con Turbo CORE)
  • Dos enlaces HyperTransport 3.1 a 3,2 GHz (6,40 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1866 MHz
  • Soporte para Turbo CORE
  • Soporta configuraciones de doble procesador
  • Socket C32
4 núcleos - Interlagos MCM (6204)

Comercializado el 14 de noviembre de 2011.

  • CPU-Steppings: B2
  • Módulo multi-chip consistiendo de dos CI, cada uno con un módulo Bulldozer de doble núcleo
  • Caché L2: 2x2 MB
  • Caché L3: 2x8 MB, compartida
  • Multiplicación de reloj: 3,3 GHz
  • HyperTransport 3 at 3,2 GHz (6,40 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1866 MHz
  • No soporta Turbo CORE
  • Soporta configuraciones de hasta cuatro procesadores
  • Socket G34
8 núcleos - Interlagos (6212, 6220)

Comercializado el 14 de noviembre de 2011.

  • CPU-Steppings: B2
  • Módulo multi-chip consistiendo de dos CI, cada uno con dos módulos Bulldozer de doble núcleo
  • Caché L2: 2x4 MB
  • Caché L3: 2x8 MB, compartida
  • Multiplicación de reloj: 2,6, 3,0 GHz (hasta 3,2 y 3,6 GHz con Turbo CORE)
  • Cuatro enlaces HyperTransport 3.1 a 3,2 GHz (6,40 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1866 MHz
  • Soporte para Turbo CORE
  • Soporta configuraciones de hasta cuatro procesadores
  • Socket G34
12 núcleos - Interlagos (6234, 6238)

Comercializado el 14 de noviembre de 2011.

  • CPU-Steppings: B2
  • Módulo multi-chip consistiendo de dos CI, cada uno con tres módulos Bulldozer de doble núcleo
  • Caché L2: 2x6 MB
  • Caché L3: 2x8 MB, compartida
  • Multiplicación de reloj: 2,4, 2,6 GHz (hasta 3,1 y 3,3 GHz con Turbo CORE)
  • Cuatro enlaces HyperTransport 3.1 a 3,2 GHz (6,40 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1866 MHz
  • Soporte para Turbo CORE
  • Soporta configuraciones de hasta cuatro procesadores
  • Socket G34
16 núcleos - Interlagos (6262 HE-6284 SE)

Comercializado el 14 de noviembre de 2011.

  • CPU-Steppings: B2
  • Módulo multi-chip consistiendo de dos CI, cada uno con cuatro módulos Bulldozer de doble núcleo
  • Caché L2: 2x8 MB
  • Caché L3: 2x8 MB, compartida
  • Multiplicación de reloj: 1,6-2,7 GHz (hasta 2,9-3,5 GHz con Turbo CORE)
  • Cuatro enlaces HyperTransport 3.1 a 3,2 GHz (6,40 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1866 MHz
  • Soporte para Turbo CORE
  • Soporta configuraciones de hasta cuatro procesadores
  • Socket G34

Opteron (32 nm SOI) - Microarquitectura Piledriver

4 núcleos - Delhi (3320 EE, 3350 HE)

Comercializado el 4 de diciembre de 2012.

  • CPU-Steppings: C0
  • Un solo CI con dos módulos Piledriver
  • Caché L2: 2x2 MB
  • Caché L3: 8 MB, compartida
  • Multiplicación de reloj: 1,9 GHz (3320 EE) - 2,8 GHz (3350 HE)
  • HyperTransport 3 (5,2 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1866 MHz
  • Soporte para Turbo CORE, hasta 2,5Ghz (3320 EE), hasta 3,8Ghz (3350 HE)
  • Soporta configuraciones de procesador único solamente
  • Socket AM3+
8 núcleos - Delhi (3380)

Comercializado el 4 de diciembre de 2012.

  • CPU-Steppings: C0
  • Un solo CI con cuatro módulos Piledriver
  • Caché L2: 4x2 MB
  • Caché L3: 8 MB, compartida
  • Multiplicación de reloj: 2,6 GHz
  • HyperTransport 3 (5,2 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1866 MHz
  • Soporte para Turbo CORE, hasta 3,6Ghz
  • Soporta configuraciones de procesador único solamente
  • Socket AM3+
4 núcleos - Seoul (4310 EE)

Comercializado el 4 de diciembre de 2012.

  • CPU-Steppings: C0
  • Un solo CI con dos módulos Piledriver
  • Caché L2: 2x2 MB
  • Caché L3: 8 MB, compartida
  • Multiplicación de reloj: 2,2 GHz
  • Two HyperTransport 3.1 a 3,2 GHz (6,40 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1866 MHz
  • Soporte para Turbo CORE, hasta 3,0 GHz
  • Soporta configuraciones de doble procesador
  • Socket C32
6 núcleos - Seoul (4332 HE - 4340)

Comercializado el 4 de diciembre de 2012.

  • CPU-Steppings: C0
  • Un solo CI con tres módulos Piledriver
  • Caché L2: 3x2 MB
  • Caché L3: 8 MB, compartida
  • Multiplicación de reloj: 3,0 GHz (4332 HE) - 3,5 GHz (4340)
  • Two HyperTransport 3.1 a 3,2 GHz (6,40 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1866 MHz
  • Soporte para Turbo CORE, de 3,5 GHz (4334) a 3,8 GHz (4340)
  • Soporta configuraciones de doble procesador
  • Socket C32
8 núcleos - Seoul (4376 HE and above)

Comercializado el 4 de diciembre de 2012.

  • CPU-Steppings: C0
  • Un solo CI con cuatro módulos Piledriver
  • Caché L2: 4x2 MB
  • Caché L3: 8 MB, compartida
  • Multiplicación de reloj: 2,6 GHz (4376 HE) - 3,1 GHz (4386)
  • Two HyperTransport 3.1 a 3,2 GHz (6,40 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1866 MHz
  • Soporte para Turbo CORE, desde 3,6 GHz (4376 HE) a 3,8 GHz (4386)
  • Soporta configuraciones de doble procesador
  • Socket C32
4 núcleos - Abu Dhabi MCM (6308)

Comercializado el 5 de noviembre de 2012.

  • CPU-Steppings: C0
  • Encapsulado multi-chip con dos CI, cada uno con un módulo Piledriver
  • Caché L2: 2 MB por CI (4 MB total)
  • Caché L3: 2x8 MB, compartida en cada CI
  • Multiplicación de reloj: 3,5 GHz
  • HyperTransport 3 a 3,2 GHz (6,40 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1866 MHz
  • No soporta Turbo CORE
  • Soporta configuraciones de hasta cuatro procesadores
  • Socket G34
8 núcleos - Abu Dhabi MCM (6320, 6328)

Comercializado el 5 de noviembre de 2012.

  • CPU-Steppings: C0
  • Encapsulado multi-chip con dos CI, cada uno con dos módulos Piledriver
  • Caché L2: 2x2 MB por CI (8 MB total)
  • Caché L3: 2x8 MB, compartida en cada CI
  • Multiplicación de reloj: 2,8 GHz (6320) - 3,2 GHz (6328)
  • HyperTransport 3 a 3,2 GHz (6,40 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1866 MHz
  • Soporte para Turbo CORE, desde 3,3 GHz (6320) a 3,8 GHz (6328)
  • Soporta configuraciones de hasta cuatro procesadores
  • Socket G34
12 núcleos - Abu Dhabi MCM (6344, 6348)

Comercializado el 5 de noviembre de 2012.

  • CPU-Steppings: C0
  • Encapsulado multi-chip con dos CI, cada uno con tres módulos Piledriver
  • Caché L2: 3x2 MB por CI (12 MB total)
  • Caché L3: 2x8 MB, compartida en cada CI
  • Multiplicación de reloj: 2,6 GHz (6344) - 2,8 GHz (6348)
  • HyperTransport 3 a 3.2 GHz (6.40 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1866 MHz
  • Soporte para Turbo CORE, desde 3,2 GHz (6344) a 3,4 GHz (6348)
  • Soporta configuraciones de hasta cuatro procesadores
  • Socket G34
16 núcleos - Abu Dhabi MCM (6366 HE and above)

Comercializado el 5 de noviembre de 2012.

  • CPU-Steppings: C0
  • Encapsulado multi-chip con dos CI, cada uno con cuatro módulos Piledriver
  • Caché L2: 4x2 MB por CI (16 MB total)
  • Caché L3: 2x8 MB, compartida en cada CI
  • Multiplicación de reloj: 1,8 GHz (6366 HE) - 2,8 GHz (6386 SE)
  • HyperTransport 3 a 3,2 GHz (6,40 GT/sec)
  • HT Assist
  • Soporte para memoria DDR3 1866 MHz
  • Soporte para Turbo CORE, desde 3,1 GHz (6366 HE) a 3,5 GHz (6386 SE)
  • Soporta configuraciones de hasta cuatro procesadores
  • Socket G34

Opteron X (28nm bulk) - Microarquitectura Jaguar

4 núcleos - Kyoto (X1150)

Comercializado el 29 de mayo de 2013

  • Un solo SoC con un módulo Jaguar y E/S integrada
  • Frecuencia de CPU y TDP configurables
  • Caché L2: 2MB compartida
  • Frecuencia de CPU: 1,0-2,0 GHz
  • Máx. TDP: 9-17W
  • Soporte para memoria DDR3-1600
  • Socket FT3
4 núcleos APU - Kyoto (X2150)

Comercializado el 29 de mayo de 2013

  • Un solo SoC con un módulo Jaguar y E/S y GPU GCN integradas
  • Frecuencia de CPU/GPU y TDP configurables
  • Caché L2: 2MB compartida
  • Frecuencia de CPU: 1,1-1,9 GHz
  • Frecuencia de GPU: 266-600 MHz
  • Núcleos de GPU: 128
  • Max. TDP: 11-22W
  • Soporte para memoria DDR3-1600
  • Socket FT3

Supercomputadoras

Para diciembre de 2012, la computadora más rápida del mundo, Titan, usaba 18.688 CPU AMD Opteron 6274 de 16 núcleos y corría a 17,59 petaFLOPS.

Las supercomputadoras basadas en Opteron mencionadas en la lista de las 100 computadoras más rápidas del mundo al 20 de noviembre de 2014:[9]

  • N° 2: Oak Ridge National Laboratory, EEUU. Titan - Cray XK7. AMD64 Opteron de 16 núcleos a 2200 MHz. Cray Inc. 560.640 núcleos en total. Rpeak: 27,11 PFlops.
  • N° 39: ERDC DSRC, EEUU. Garnet - Cray XE6. AMD64 Opteron de 16 núcleos a 2500 MHz. Cray Inc. 150.528 núcleos en total. Rpeak: 1,5 PFlops.
  • N° 40: DOE/NNSA/LANL/SNL, EEUU. Cielo - Cray XE6. AMD64 Opteron de 8 núcleos a 2,4 GHz. Cray Inc. 142.272 núcleos en total. Rpeak: 1,37 PFlops
  • N° 44: DOE/SC/LBNL/NERSC, EEUU. Hopper - Cray XE6. AMD64 Opteron de 12 núcleos a 2,1 GHz. Cray Inc. 153.408 núcleos en total. Rpeak 1,29 PFlops
  • N° 60: HWW/Universitaet Stuttgart, Alemania. HERMIT - Cray XE6. AMD64 Opteron de 16 núcleos a 2,3 GHz. Cray Inc. 113.472 núcleos en total. Rpeak 1,04 PFlops
  • N° 80: University of Edinburgh, Reino Unido. HECToR - Cray XE6. AMD64 Opteron 2,3 GHz. Cray Inc. 90.112 núcleos en total. Rpeak: 829,03 TFlops.
  • N° 84: Indiana University, EEUU. Big Red II - Cray XK7. AMD64 Opteron de 16 núcleos a 2,3 GHz. Cray Inc. 31.288 núcleos en total. Rpeak: 1.000,6 TFlops.
  • N° 87: NOAA/Oak Ridge National Laboratory, EEUU. Gaea C2 - Cray XE6. AMD64 Opteron de 16 núcleos a 2,3 GHz. Cray Inc. 77.824 núcleos en total. Rpeak: 715,9 TFlops.

Cuestiones

Opteron sin Administrador Optimizado de Energía

AMD ha comercializado algunos procesadores Opteron sin soporte para Optimized Power Management (OPM, en español "Administrador Optimizado de Energía"), los cuales usan memorias DDR. La siguiente tabla describe aquellos procesadores que carecen del OPM.

Frecuencia de
Máx. consumo
Frecuencia de
Mín. consumo
Modelo Zócalo Núcleos TDP (W) Proceso de
Fabricación
Número de parte (OPN)
1400 MHz N/A 140 Socket 940 1 82,1 130 nm OSA140CEP5AT
1400 MHz N/A 240 Socket 940 1 82,1 130 nm OSA240CEP5AU
1400 MHz N/A 840 Socket 940 1 82,1 130 nm OSA840CEP5AV
1600 MHz N/A 142 Socket 940 1 82,1 130 nm OSA142CEP5AT
1600 MHz N/A 242 Socket 940 1 82,1 130 nm OSA242CEP5AU
1600 MHz N/A 842 Socket 940 1 82,1 130 nm OSA842CEP5AV
1600 MHz N/A 242 Socket 940 1 85,3 90 nm OSA242FAA5BL
1600 MHz N/A 842 Socket 940 1 85,3 90 nm OSA842FAA5BM
1600 MHz N/A 260 Socket 940 2 55,0 90 nm OSK260FAA6CB
1600 MHz N/A 860 Socket 940 2 55,0 90 nm OSK860FAA6CC

Retirada de Opteron

AMD ha hecho una retirada de producto de algunos procesadores Opteron de un núcleo revisión E4, incluyendo modelos x52 (2,6 GHz) y x54 (2,8 GHz) los cuales usan memorias DDR. La siguiente tabla describe los procesadores afectados, tal como aparecen en el anuncio de AMD.[10]

Frecuencia de
Máx. consumo
Un procesador Doble Procesador Multi-Procesador Zócalo
2600 MHz 152 252 852 Socket 940
2800 MHz N/A 254 854 Socket 940
2600 MHz 152 N/A N/A Socket 939
2800 MHz 154 N/A N/A Socket 939

Los procesadores afectados puede producir inconsistencias en los resultados en presencia de condiciones específicas concurrentes:

  • La ejecución de secuencias de código de coma flotante intensiva
  • Elevada temperatura del procesador
  • Elevada temperatura ambiente

Un programa de verificación para identificar los procesadores AMD Opteron listados arriba que pueden verse afectados bajo estas tres condiciones específicas está disponible sólo para los OEM de AMD.[cita requerida] AMD reemplazará esos procesadores sin cargo.[cita requerida]

Reconocimiento

En el número de febrero de 2010 la revista Custom PC (una revista británica especializada en hardware de PC) el AMD Opteron 144 (lanzado en el verano de 2005) aparece en el "Salón de la Fama del Hardware". Se lo describe como "La mejor CPU para overclockers jamás hecha" debido a su bajo costo y capacidad de funcionar a velocidades mucho más allá de su velocidad de producción (según Custom PC, podría funcionar a "cerca de 3 GHz en el aire").

Referencias

  1. «SPECint2006 Rate Results for multiprocessor systems». Consultado el 27 de diciembre de 2008. 
  2. (en inglés). Advanced Micro Devices. Archivado desde el original el 1 de septiembre de 2006. Consultado el 27 de junio de 2021. 
  3. «AMD Introduces the World’s Most Advanced x86 Processor, Designed for the Demanding Datacenter». Press release (AMD). 10 de septiembre de 2007. Consultado el 6 de enero de 2014. 
  4. . Photo. AMD. Archivado desde el original el 28 de noviembre de 2008. Consultado el 6 de enero de 2010. 
  5. . Archivado desde el original el 6 de marzo de 2007. Consultado el 6 de marzo de 2007.  6-core Opteron Processors codenamed 'Istanbul' were announced on July 1, 2009. They were a drop-in upgrade for existing Socket F servers.
  6. «"HT Assist": What is it, and how does it help?». Consultado el 2 de enero de 2013. 
  7. Merritt, Rick. «AMD tips quad-core performance». EETimes.com. Consultado el 16 de marzo de 2007. 
  8. «AMD Opteron X2150 APU». Consultado el 19 de octubre de 2014. 
  9. . TOP500. Archivado desde el original el 29 de diciembre de 2014. Consultado el 28 de diciembre de 2014. 
  10. «AMD Opteron Processor Models x52 and x54 Production Notice». Advanced Micro Devices. Abril de 2006. Consultado el 30 de noviembre de 2006. 

Véase también

Enlaces externos

  •   Datos: Q295060
  •   Multimedia: AMD Opteron

opteron, opteron, línea, microprocesadores, para, servidores, estaciones, trabajo, primer, microprocesador, arquitectura, usó, conjunto, instrucciones, amd64, también, conocido, como, lanzado, abril, 2003, núcleo, sledgehammer, estaba, orientado, competir, mer. Opteron es una linea de microprocesadores x86 de AMD para servidores y estaciones de trabajo y fue el primer microprocesador con arquitectura x86 que uso el conjunto de instrucciones AMD64 tambien conocido como x86 64 Fue lanzado el 22 de abril de 2003 con el nucleo SledgeHammer K8 y estaba orientado a competir en el mercado de servidores y workstations particularmente en el segmento del procesador Xeon de Intel Los procesadores basados en la arquitectura AMD K10 Barcelona fueron anunciados el 10 de septiembre de 2007 incorporando una nueva configuracion de cuatro nucleos El mas reciente lanzamiento de los procesadores Opteron es la serie procesadores Opteron 4300 y 6300 Seoul y Abu Dhabi respectivamente basados en la arquitectura Piledriver AMD OpteronAMD Opteron 2212InformacionTipomarca registradaFabricanteAMDFecha de lanzamientoabril de 2003DescontinuacionpresenteDatos tecnicosFrecuencia de reloj de CPU1 4 GHz 3 3 GHzVelocidad HyperTransport800MHz 3200MHzLongitud del canal MOSFET130 nm 32 nmConjunto de instruccionesx86 64Numero de nucleos1 2 4 6 8 12 y 16Se conecta aZocalo s Socket 939 940AM2 AM2 AM3 AM3 Socket FSocket C32 Socket G34CronologiaAMD OpteronEpyc y AMD Ryzen Threadripper editar datos en Wikidata Indice 1 Descripcion tecnica 1 1 Dos capacidades clave 1 2 Caracteristicas de multiprocesamiento 1 3 Opterons multinucleos 1 4 Socket 939 1 5 Socket AM2 1 6 Socket AM2 1 7 Socket AM3 1 8 Socket F 1 9 Socket G34 1 10 Socket C32 1 10 1 Actualizacion de la micro arquitectura 1 11 Socket FT3 2 Modelos 2 1 Opteron 130 nm SOI 2 2 Opteron 90 nm SOI DDR 2 3 Opteron 90 nm SOI DDR2 2 4 Opteron 65 nm SOI 2 5 Opteron 45 nm SOI 2 6 Opteron 32 nm SOI Microarquitectura Bulldozer 2 7 Opteron 32 nm SOI Microarquitectura Piledriver 2 8 Opteron X 28nm bulk Microarquitectura Jaguar 3 Supercomputadoras 4 Cuestiones 4 1 Opteron sin Administrador Optimizado de Energia 4 2 Retirada de Opteron 5 Reconocimiento 6 Referencias 7 Vease tambien 8 Enlaces externosDescripcion tecnica EditarDos capacidades clave Editar Opteron combina dos importantes capacidades en un solo procesador Ejecucion nativa de aplicaciones x86 32 bit sin perdida de rendimiento Ejecucion nativa de aplicaciones x86 64 64 bitLa primera caracteristica es notable debido que al momento de la introduccion del Opteron la unica arquitectura de 64 bit disponible en el mercado con compatibilidad x86 32 bit Itanium de Intel corria aplicaciones nativas x86 solo con una importante merma de la velocidad La segunda capacidad por si misma es menos importante debido a que arquitecturas RISC mayores como SPARC Alpha PA RISC PowerPC MIPS son de 64 bit desde hace muchos anos Con la combinacion de estas dos capacidades sin embargo el Opteron gano el reconocimiento por su capacidad para ejecutar en forma economica la gran base de aplicaciones x86 instalada al mismo tiempo que ofrece una via para actualizar los sistemas a 64 bits Los procesadores Opteron poseen controlador de memoria integrado soportando DDR SDRAM DDR2 SDRAM o DDR3 SDRAM dependiendo de la generacion del procesador Esto elimina la latencia para acceder a la RAM principal y elimina la necesidad de un circuito integrado separado para el puente norte Caracteristicas de multiprocesamiento Editar Opteron Barcelona de cuatro nucleos Opteron Istanbul de seis nucleos En sistemas multiproceso mas de un Opteron en una sola placa madre las CPU se comunican usando Direct Connect Architecture sobre enlaces HyperTransport de alta velocidad Cada CPU puede acceder a la memoria principal del otro procesador siendo esto transparente para el programador La forma en que el Opteron realiza el multiprocesamiento no es igual al multiprocesamiento simetrico en lugar de tener un banco de memoria para todas las CPU cada CPU tiene su propia memoria Por lo tanto el Opteron es de arquitectura Non Uniform Memory Access NUMA La CPU Opteron soporta una configuracion de hasta 8 vias en servidores de nivel medio En servidores de nivel empresario se utilizan circuitos integrados router adicionales y caros para soportar mas de 8 CPU por caja En varios benchmark el Opteron ha demostrado poseer una mejor escalabilidad multiprocesamiento que el Intel Xeon 1 Esto se debe principalmente a que al agregar un procesador Opteron adicional se incrementa el ancho de banda de la memoria lo cual no siempre es el caso de los sistemas basados en el Xeon y al hecho de que los Opteron utilizan conmutadores en lugar de un bus compartido En particular el controlador de memoria integrado del Opteron permite a la CPU acceder a la memoria RAM local rapidamente En contraste las CPU Xeon en un sistema multiprocesador comparten solo dos buses para comunicaciones procesador procesador y procesador memoria Cuando se incrementa la cantidad de CPU en un sistema Xeon tipico la contencion de los buses compartidos causa una caida en la eficiencia del sistema Intel esta migrando a una arquitectura de memoria similar a la del Opteron para la familia Intel Core i7 y sus derivados Xeon Opterons multinucleos Editar AMD introdujo sus primeros Opterons multinucleos en abril de 2005 En esa epoca AMD usaba el termino multinucleo como sinonimo de doble nucleo cada Opteron fisico contiene dos nucleos de procesamiento Esto efectivamente duplica la capacidad de procesamiento disponible en cada zocalo de procesador de la placa madre Cada zocalo puede entregar el rendimiento de dos procesadores dos zocalos pueden entregar el rendimiento de cuatro procesadores y asi sucesivamente Debido a que el costo de la placa madre se incrementa notablemente a medida que se le agregan zocalos para CPU los procesadores multinucleo permiten construir sistemas multiprocesador a bajo costo El esquema de los numeros de modelos de AMD ha cambiado un poco con la nueva linea de multinucleos Cuando fueron introducidos el Opteron multinucleo mas rapido de AMD era el modelo 875 con dos nucleos corriendo a 2 2 GHz cada uno El Opteron de un solo nucleo mas rapido era el modelo 252 corriendo a 2 6 GHz Para aplicaciones multihilo o varias aplicaciones de un solo hilo el modelo 875 podia correr mucho mas rapido que el modelo 252 Los Opteron de segunda generacion son ofrecidos en tres series la serie 1000 solo un zocalo es decir solo un procesador en la placa madre la serie 2000 para dos zocalos dos procesadores en la placa madre y la serie 8000 cuatro u ocho zocalos La serie 1000 utilizan el zocalo AM3 Las series 2000 y 8000 utilizan el zocalo F 2 AMD anuncio sus procesadores de cuatro nucleos de tercera generacion en septiembre de 2007 3 4 anunciando los vendedores de hardware sus servidores el mes siguiente Basado en el diseno del nucleo denominado Barcelona se planificaron nuevas tecnicas de control de energia y temperatura para los chip La primeras plataformas basadas en el doble nucleo DDR2 eran actualizables a los microprocesadores de cuatro nucleos 5 La cuarta generacion fue anunciada en junio de 2009 con el Istanbul de seis nucleos Se introdujo el HT Assist un directorio adicional para la ubicacion de los datos lo que reduce el consumo para el sondeo y emisiones HT Assist utiliza 1 MB de cache L3 por CPU cuando se activa 6 En marzo de 2010 AMD lanzo la serie de CPU Opteron 6100 Magny Cours para el zocalo G34 Estas CPU para modulos multichip de 8 y 12 nucleos consisten en dos matrices de 4 u 8 nucleos con un enlace HyperTransport 3 1 enlazando ambas Estas CPUs actualizaron las plataformas Opteron multi zocalo para usar memorias DDR3 e incrementando la velocidad maxima del enlace HyperTransport de 2 4 GHz 4 8 GT sec para las CPU Istanbul a 3 2 GHz 6 4 GT sec AMD cambio el esquema de nombres para sus modelos Opteron La serie Opteron 4000 en zocalo C32 lanzada en julio de 2010 soportan doble zocalo y esta orientada a sistemas mono procesador y bi procesador La serie Opteron 6000 en zocalo G34 soporta cuadruple zocalo y esta orientada a sistemas de alta gama bi procesador y tetra procesador Socket 939 Editar AMD lanzo el Opteron para el zocalo 939 reduciendo el costo de las placas madre para servidores y workstations de gama baja Excepto por el hecho de que tienen cache L2 de 1 MB contra los 512 KB del Athlon64 el Opteron para zocalo 939 es identico al Athlon 64 con nucleo San Diego y Toledo pero corren a velocidad menores de las que soportan siendo de esta manera mas estables Socket AM2 Editar Los Opteron para zocalo AM2 estan disponibles para servidores pero solo en configuracion mono procesador Los Opteron de doble nucleo denominados Santa Ana rev F poseen cache nivel L2 de 2 1 MB al contrario que la mayoria de sus primos Athlon 64 X2 los cuales poseen cache L2 de 2x512 KB Estas CPU tienen numeros de modelo de 1210 a 1224 Socket AM2 Editar AMD introdujo los Opteron de cuatro nucleos para zocalo AM2 para servidores monoprocesador en 2007 Estas CPU son fabricadas con proceso de 65 nm y son similares a los Phenom X4 Agena Los Opteron de cuatro nucleos para AM2 son denominados Budapest Estos Opterons llevan los numeros de modelo 1352 2 1 GHz 1354 2 2 GHz y 1356 2 3 GHz Socket AM3 Editar AMD introdujo los Opteron de cuatro nucleos para zocalo AM3 para servidores monoprocesador en 2009 Estas CPU son fabricadas con proceso de 45 nm y son similares a los Phenom II X4 Deneb Los Opteron de cuatro nucleos para zocalo AM3 son denominados Suzuka Estos Opteron llevan los numeros de modelo 1381 2 5 GHz 1385 2 7 GHz y 1389 2 9 GHz Socket F Editar El zocalo F LGA de 1207 contactos es la segunda generacion de zocalo para los Opteron de AMD Este zocalo soporta los procesadores Santa Rosa Barcelona Shanghai e Estambul El zocalo Lidded land grid array agrega soporte para memoria DDR2 SDRAM conectividad mejorada HyperTransport version 3 El zocalo y el encapsulado del microprocesador son fisicamente identicos aunque no compatibles al zocalo 1207 FX Socket G34 Editar El zocalo G34 LGA de 1944 contactos es uno de los zocalos de tercera generacion de Opteron junto con el zocalo C32 Este zocalo soporta en las series de procesadores Opteron 6100 Magny Cours Opteron 6200 Interlagos basada en Bulldozer y Opteron 6300 Abu Dhabi basada en Piledriver Este zocalo soporta cuatro canales de memoria DDR3 SDRAM dos por matriz de CPU Al contrario de los zocalos de Opteron multi procesador las CPU para zocalo G34 funcionan con RAM unbuffered ECC o no ECC ademas de la tradicional RAM ECC Socket C32 Editar El zocalo C32 LGA de 1207 contactos es el otro miembro de los zocalos Opteron de tercera generacion Este zocalo es simialr al zocalo F pero no es compatible con este El zocalo C32 usa SDRAM DDR3 y es diferente para prevenir que se inserte una CPU de zocalo F que usa solo memoria DDR2 Al igual que el G34 el zocalo C32 funciona con RAM unbuffered ECC o no ECC ademas de la tradicional RAM ECC Actualizacion de la micro arquitectura Editar La linea Opteron vio una actualizacion con la implementacion de la micro arquitectura AMD K10 Los nuevos procesadores lanzado en el tercer trimestre de 2007 llamado Barcelona incorporo varias mejoras particularmente en la prerrecuperacion de datos de la memoria carga especulativa ejecucion SIMD y prediccion de la rama dando como resultado una mejora apreciable sobre los Opteron basados en K8 con el mismo consumo de corriente 7 Mientras tanto AMD tambien ha utilizado un nuevo esquema para caracterizar el consumo de energia de los nuevos procesadores segun el consumo diario promedio llamado average CPU power ACP o potencia media de la CPU Socket FT3 Editar Las APU Opteron X1150 y Opteron X2150 se usan con BGA 769 o Socket FT3 8 Modelos EditarLos Opteron para Socket 940 y Socket 939 tienen un numero de modelo de tres digitos en la forma Opteron XYY Para los Opteron para Socket F y Socket AM2 cada procesador tiene un numero de modelo de cuatro digitos en la forma Opteron XZYY Para la primera segunda y tercera generacion de Opteron el primer digito el X especifica el numero de CPU en la maquina a la cual esta destinada 1 disenado para sistemas con un procesador 2 disenado para sistemas con dos procesadores 8 disenado para sistemas con cuatro u ocho procesadores Los Opteron Socket F y Socket AM2 el segundo digito la Z representa la generacion del procesador Actualmente solo se usa 2 doble nucleo DDR2 3 cuatro nucleos DDR2 y 4 seis nucleos DDR2 Los Opteron para Socket C32 y G34 usan un esquema de numeracion de cuatro digitos El primer digito se refiere a la cantidad de CPU en la maquina a la cual esta destinado 4 Disenado para sistemas con uno y dos procesadores 6 Disenado para sistemas con dos y cuatro procesadores Al igual que en los Opteron de segunda y tercera generacion el segundo numero indica la generacion del procesador 1 se refiere a unidades basadas en AMD K10 Magny Cours y Lisbon 2 se refiere unidades Bulldozer Interlagos Valencia y Zurich y 3 se refiere a unidades basadas en Piledriver Abu Dhabi Seoul y Delhi Para todos los Opteron los dos ultimos digitos en el numero de modelo el YY indica la frecuencia de reloj de la CPU un numero mas alto indica una frecuencia de reloj mas alta Esta velocidad es comparable a los procesadores de la misma generacion si tienen el mismo numero de nucleos los de un nucleo y los de doble nucleo estan indicados de otra manera a pesar de que tienen la misma frecuencia de reloj El sufijo HE o EE indica que es un modelo de alta eficiencia y bajo consumo Hhigh Efficiency Energy Efficiency teniendo un TDP mas bajo que el Opteron estandar El sufijo SE indica unmodelo tope de linea con un TDP mayor que el Opteron estandar Al comenzar con el proceso de fabricacion de 65 nm los nombres en codigo de los Opteron estan basados en las ciudades que fueron sede de las carreras de Formula 1 AMD ha patrocinado por un largo tiempo al equipo mas exitoso de la Formula 1 Ferrari Procesadores de la familia AMD OpteronNombre Proceso Fecha NucleosSledgeHammerVenusTroyAthens 130 nm90 nm90 nm90 nm junio de 2003agosto de 2005enero de 2006enero de 2006 1DenmarkItalyEgyptSanta AnaSanta Rosa 90 nm90 nm90 nm90 nm90 nm marzo de 2006mayo de 2006junio de 2006agosto de 2006agosto de 2006 2BarcelonaBudapestShanghai 65 nm65 nm45 nm septiembre de 2007abril de 2008noviembre de 2008 4Estambul 45 nm enero de 2009 6Lisbon 45 nm enero de 2010 4 6Magny Cours 45 nm marzo de 2010 8 12Valencia 32 nm noviembre de 2011 6 8Interlagos 32 nm noviembre de 2011 4 8 12 16Zurich 32 nm marzo de 2012 4 8Abu Dhabi 32 nm noviembre de 2012 4 8 12 16Delhi 32 nm diciembre de 2012 4 8Seoul 32 nm diciembre de 2012 4 6 8Anexo Procesadores AMD OpteronOpteron 130 nm SOI Editar Un nucleo SledgeHammer 1yy 2yy 8yy CPU Steppings B3 C0 CG Cache L1 64 64 KB Datos Instrucciones Cache L2 1024 KB maxima velocidad MMX Extended 3DNow SSE SSE2 AMD64 Socket 940 800 MHz HyperTransport DDR SDRAM registrada requerida para socket 940 posible ECC VCore 1 5 V 1 55 V Max consumo TDP 89 W Primer lanzamiento 22 de abril de 2003 1 Multiplicacion de reloj 1 4 2 4 GHz x40 x50 Opteron 90 nm SOI DDR Editar Un nucleo Venus 1yy Troy 2yy Athens 8yy CPU Steppings E4 Cache L1 64 64 KB Datos Instrucciones Cache L2 1024 KB maxima velocidad MMX Extended 3DNow SSE SSE2 SSE3 AMD64 Socket 940 800 MHz HyperTransport Socket 939 Socket 940 1000 MHz HyperTransport DDR SDRAM registrada requerida para socket 940 posible ECC VCore 1 35 V 1 4 V Max consumo TDP 95 W Bit NX Chequea limite de segmento de 64 bits para virtualizacion estilo VMware con traduccion binaria Optimized Power Management OPM Primer lanzamiento 14 de febrero de 2005 Multiplicacion de reloj 1 6 3 0 GHz x42 x56 Doble nucleo Denmark 1yy Italy 2yy Egypt 8yy CPU Steppings E1 E6 Primer lanzamiento primavera de 2005 Multiplicacion de reloj 1 6 2 8 GHz x60 x65 x70 x75 x80 x85 x90 Socket 939 Socket 940 1000 MHz HyperTransport Bit NXOpteron 90 nm SOI DDR2 Editar Doble nucleo Santa Ana 12yy Santa Rosa 22yy 82yy CPU Steppings F2 F3 Cache L1 64 64 KB Datos Instrucciones Cache L2 2 1024 KB maxima velocidad MMX Extended 3DNow SSE SSE2 SSE3 AMD64 Socket F 1000 MHz HyperTransport Opteron 2yy 8yy Socket AM2 1000 MHz HyperTransport Opteron 1yy VCore 1 35 V Max consumo TDP 95 W Bit NX Virtualizacion AMD V Optimized Power Management OPM Primer lanzamiento 2006 Multiplicacion de reloj 1 8 3 2 GHz xx10 xx12 xx14 xx16 xx18 xx20 xx22 xx24 Opteron 65 nm SOI Editar 4 nucleos Barcelona 23xx 83xx 2360 8360 e inferiores Budapest 13yy 1356 e inferioresCPU Steppings BA B3 Cache L1 64 64 KB Data Instructions per core Cache L2 512 KB maxima velocidad por nucleo Cache L3 2048 KB compartida MMX Extended 3DNow SSE SSE2 SSE3 AMD64 SSE4a Socket F Socket AM2 HyperTransport 3 0 1 6 GHz 2 GHz DDR2 SDRAM registrada requerida posible ECC VCore 1 2 V Max consumo TDP 95 Watts Bit NX Virtualizacion AMD V de segunda generacion con Rapid Virtualization Indexing RVI Split power plane dynamic power management Primer lanzamiento September 10 2007 Multiplicacion de reloj 1 7 2 5 GHzOpteron 45 nm SOI Editar 4 nucleos Shanghai 23xx 83xx 2370 8370 e inferiores Suzuka 13yy 1381 e inferioresCPU Steppings C2 Cache L3 6 MB compartida Multiplicacion de reloj 2 3 2 9 GHz HyperTransport 1 0 3 0 Reduccion de un 20 del consumo con el procesador desocupado 2 Soporte para memoria DDR2 800 MHz Socket F 3 Soporte para memoria DDR3 1333 MHz Socket AM3 6 nucleos Istanbul 24xx 84xx Comercializado el 1 de enero de 2009 CPU Steppings D0 Cache L3 6 MB compartida Multiplicacion de reloj 2 2 2 8 GHz HyperTransport 3 0 HT Assist Soporte para memoria DDR2 800 MHz 4 ocho nucleos Magny Cours MCM 6124 6140 Comercializado 29 de marzo de 2010 CPU Steppings D1 Modulo multi chip consistente en dos CI de cuatro nucleos Cache L2 8x512 KB Cache L3 2x6 MB compartida Multiplicacion de reloj 2 0 2 6 GHz Cuatro HyperTransport de 3 1 at 3 2 GHz 6 4 GT sec HT Assist Soporte para memoria DDR3 1333 MHz Socket G3412 nucleos Magny Cours MCM 6164 6180SE Comercializado el 29 de marzo de 2010 CPU Steppings D1 Modulo multi chip consistente en dos CI de seis nucleos Cache L2 12x512 KB Cache L3 2x6 MB compartida Multiplicacion de reloj 1 7 2 5 GHz Cuatro HyperTransport de 3 1 at 3 2 GHz 6 4 GT sec HT Assist Soporte para memoria DDR3 1333 MHz Socket G344 nucleos Lisbon 4122 4130 Comercializado el 23 de junio de 2010 CPU Steppings D0 Cache L3 6 MB Multiplicacion de reloj 2 2 GHz 4122 2 6 GHz 4130 Dos enlaces HyperTransport a 3 2 GHz 6 40 GT sec HT Assist Soporte para memoria DDR3 1333 MHz Socket C326 nucleos Lisbon 4162 4184 Comercializado el 23 de junio de 2010 CPU Steppings D1 Cache L3 6 MB Multiplicacion de reloj 1 7 2 8 GHz Dos enlaces HyperTransport a 3 2 GHz 6 40 GT sec HT Assist Soporte para memoria DDR3 1333 MHz Socket C32Opteron 32 nm SOI Microarquitectura Bulldozer Editar 4 nucleos Zurich 3250 3260 Comercializado el 20 de marzo de 2012 CPU Steppings B2 Un solo CI con un solo procesador Bulldozer Cache L2 2x2 MB Cache L3 4 MB Multiplicacion de reloj 2 5 GHz 3250 2 7 GHz 3260 HyperTransport 3 5 2 GT sec HT Assist Soporte para memoria DDR3 1333 MHz Soporte para Turbo CORE hasta 3 5Ghz 3250 hasta 3 7Ghz 3260 Soporta configuraciones de procesador unico solamente Socket AM3 8 nucleos Zurich 3280 Comercializado el 20 de marzo de 2012 CPU Steppings B2 Un solo CI con un solo procesador Bulldozer Cache L2 4x2 MB Cache L3 8 MB Multiplicacion de reloj 2 4 GHz HyperTransport 3 5 2 GT sec HT Assist Soporte para memoria DDR3 1866 MHz Soporte para Turbo CORE hasta 3 5Ghz Soporta configuraciones de procesador unico solamente Socket AM3 6 nucleos Valencia 4226 4238 Comercializado el 14 de noviembre de 2011 CPU Steppings B2 Un solo CI conteniendo tres modulos Bulldozer de doble nucleo Cache L2 6 MB Cache L3 8 MB compartida Multiplicacion de reloj 2 7 3 3 GHz hasta 3 1 3 7 GHz con Turbo CORE Dos enlaces HyperTransport 3 1 a 3 2 GHz 6 40 GT sec HT Assist Soporte para memoria DDR3 1866 MHz Soporte para Turbo CORE Soporta configuraciones de doble procesador Socket C328 nucleos Valencia 4256 HE 4284 Comercializado el 14 de noviembre de 2011 CPU Steppings B2 Un solo CI conteniendo cuatro modulos Bulldozer de doble nucleo Cache L2 8 MB Cache L3 8 MB compartida Multiplicacion de reloj 1 6 3 0 GHz hasta 3 0 3 7 GHz con Turbo CORE Dos enlaces HyperTransport 3 1 a 3 2 GHz 6 40 GT sec HT Assist Soporte para memoria DDR3 1866 MHz Soporte para Turbo CORE Soporta configuraciones de doble procesador Socket C324 nucleos Interlagos MCM 6204 Comercializado el 14 de noviembre de 2011 CPU Steppings B2 Modulo multi chip consistiendo de dos CI cada uno con un modulo Bulldozer de doble nucleo Cache L2 2x2 MB Cache L3 2x8 MB compartida Multiplicacion de reloj 3 3 GHz HyperTransport 3 at 3 2 GHz 6 40 GT sec HT Assist Soporte para memoria DDR3 1866 MHz No soporta Turbo CORE Soporta configuraciones de hasta cuatro procesadores Socket G348 nucleos Interlagos 6212 6220 Comercializado el 14 de noviembre de 2011 CPU Steppings B2 Modulo multi chip consistiendo de dos CI cada uno con dos modulos Bulldozer de doble nucleo Cache L2 2x4 MB Cache L3 2x8 MB compartida Multiplicacion de reloj 2 6 3 0 GHz hasta 3 2 y 3 6 GHz con Turbo CORE Cuatro enlaces HyperTransport 3 1 a 3 2 GHz 6 40 GT sec HT Assist Soporte para memoria DDR3 1866 MHz Soporte para Turbo CORE Soporta configuraciones de hasta cuatro procesadores Socket G3412 nucleos Interlagos 6234 6238 Comercializado el 14 de noviembre de 2011 CPU Steppings B2 Modulo multi chip consistiendo de dos CI cada uno con tres modulos Bulldozer de doble nucleo Cache L2 2x6 MB Cache L3 2x8 MB compartida Multiplicacion de reloj 2 4 2 6 GHz hasta 3 1 y 3 3 GHz con Turbo CORE Cuatro enlaces HyperTransport 3 1 a 3 2 GHz 6 40 GT sec HT Assist Soporte para memoria DDR3 1866 MHz Soporte para Turbo CORE Soporta configuraciones de hasta cuatro procesadores Socket G3416 nucleos Interlagos 6262 HE 6284 SE Comercializado el 14 de noviembre de 2011 CPU Steppings B2 Modulo multi chip consistiendo de dos CI cada uno con cuatro modulos Bulldozer de doble nucleo Cache L2 2x8 MB Cache L3 2x8 MB compartida Multiplicacion de reloj 1 6 2 7 GHz hasta 2 9 3 5 GHz con Turbo CORE Cuatro enlaces HyperTransport 3 1 a 3 2 GHz 6 40 GT sec HT Assist Soporte para memoria DDR3 1866 MHz Soporte para Turbo CORE Soporta configuraciones de hasta cuatro procesadores Socket G34Opteron 32 nm SOI Microarquitectura Piledriver Editar 4 nucleos Delhi 3320 EE 3350 HE Comercializado el 4 de diciembre de 2012 CPU Steppings C0 Un solo CI con dos modulos Piledriver Cache L2 2x2 MB Cache L3 8 MB compartida Multiplicacion de reloj 1 9 GHz 3320 EE 2 8 GHz 3350 HE HyperTransport 3 5 2 GT sec HT Assist Soporte para memoria DDR3 1866 MHz Soporte para Turbo CORE hasta 2 5Ghz 3320 EE hasta 3 8Ghz 3350 HE Soporta configuraciones de procesador unico solamente Socket AM3 8 nucleos Delhi 3380 Comercializado el 4 de diciembre de 2012 CPU Steppings C0 Un solo CI con cuatro modulos Piledriver Cache L2 4x2 MB Cache L3 8 MB compartida Multiplicacion de reloj 2 6 GHz HyperTransport 3 5 2 GT sec HT Assist Soporte para memoria DDR3 1866 MHz Soporte para Turbo CORE hasta 3 6Ghz Soporta configuraciones de procesador unico solamente Socket AM3 4 nucleos Seoul 4310 EE Comercializado el 4 de diciembre de 2012 CPU Steppings C0 Un solo CI con dos modulos Piledriver Cache L2 2x2 MB Cache L3 8 MB compartida Multiplicacion de reloj 2 2 GHz Two HyperTransport 3 1 a 3 2 GHz 6 40 GT sec HT Assist Soporte para memoria DDR3 1866 MHz Soporte para Turbo CORE hasta 3 0 GHz Soporta configuraciones de doble procesador Socket C326 nucleos Seoul 4332 HE 4340 Comercializado el 4 de diciembre de 2012 CPU Steppings C0 Un solo CI con tres modulos Piledriver Cache L2 3x2 MB Cache L3 8 MB compartida Multiplicacion de reloj 3 0 GHz 4332 HE 3 5 GHz 4340 Two HyperTransport 3 1 a 3 2 GHz 6 40 GT sec HT Assist Soporte para memoria DDR3 1866 MHz Soporte para Turbo CORE de 3 5 GHz 4334 a 3 8 GHz 4340 Soporta configuraciones de doble procesador Socket C328 nucleos Seoul 4376 HE and above Comercializado el 4 de diciembre de 2012 CPU Steppings C0 Un solo CI con cuatro modulos Piledriver Cache L2 4x2 MB Cache L3 8 MB compartida Multiplicacion de reloj 2 6 GHz 4376 HE 3 1 GHz 4386 Two HyperTransport 3 1 a 3 2 GHz 6 40 GT sec HT Assist Soporte para memoria DDR3 1866 MHz Soporte para Turbo CORE desde 3 6 GHz 4376 HE a 3 8 GHz 4386 Soporta configuraciones de doble procesador Socket C324 nucleos Abu Dhabi MCM 6308 Comercializado el 5 de noviembre de 2012 CPU Steppings C0 Encapsulado multi chip con dos CI cada uno con un modulo Piledriver Cache L2 2 MB por CI 4 MB total Cache L3 2x8 MB compartida en cada CI Multiplicacion de reloj 3 5 GHz HyperTransport 3 a 3 2 GHz 6 40 GT sec HT Assist Soporte para memoria DDR3 1866 MHz No soporta Turbo CORE Soporta configuraciones de hasta cuatro procesadores Socket G348 nucleos Abu Dhabi MCM 6320 6328 Comercializado el 5 de noviembre de 2012 CPU Steppings C0 Encapsulado multi chip con dos CI cada uno con dos modulos Piledriver Cache L2 2x2 MB por CI 8 MB total Cache L3 2x8 MB compartida en cada CI Multiplicacion de reloj 2 8 GHz 6320 3 2 GHz 6328 HyperTransport 3 a 3 2 GHz 6 40 GT sec HT Assist Soporte para memoria DDR3 1866 MHz Soporte para Turbo CORE desde 3 3 GHz 6320 a 3 8 GHz 6328 Soporta configuraciones de hasta cuatro procesadores Socket G3412 nucleos Abu Dhabi MCM 6344 6348 Comercializado el 5 de noviembre de 2012 CPU Steppings C0 Encapsulado multi chip con dos CI cada uno con tres modulos Piledriver Cache L2 3x2 MB por CI 12 MB total Cache L3 2x8 MB compartida en cada CI Multiplicacion de reloj 2 6 GHz 6344 2 8 GHz 6348 HyperTransport 3 a 3 2 GHz 6 40 GT sec HT Assist Soporte para memoria DDR3 1866 MHz Soporte para Turbo CORE desde 3 2 GHz 6344 a 3 4 GHz 6348 Soporta configuraciones de hasta cuatro procesadores Socket G3416 nucleos Abu Dhabi MCM 6366 HE and above Comercializado el 5 de noviembre de 2012 CPU Steppings C0 Encapsulado multi chip con dos CI cada uno con cuatro modulos Piledriver Cache L2 4x2 MB por CI 16 MB total Cache L3 2x8 MB compartida en cada CI Multiplicacion de reloj 1 8 GHz 6366 HE 2 8 GHz 6386 SE HyperTransport 3 a 3 2 GHz 6 40 GT sec HT Assist Soporte para memoria DDR3 1866 MHz Soporte para Turbo CORE desde 3 1 GHz 6366 HE a 3 5 GHz 6386 SE Soporta configuraciones de hasta cuatro procesadores Socket G34Opteron X 28nm bulk Microarquitectura Jaguar Editar 4 nucleos Kyoto X1150 Comercializado el 29 de mayo de 2013 Un solo SoC con un modulo Jaguar y E S integrada Frecuencia de CPU y TDP configurables Cache L2 2MB compartida Frecuencia de CPU 1 0 2 0 GHz Max TDP 9 17W Soporte para memoria DDR3 1600 Socket FT34 nucleos APU Kyoto X2150 Comercializado el 29 de mayo de 2013 Un solo SoC con un modulo Jaguar y E S y GPU GCN integradas Frecuencia de CPU GPU y TDP configurables Cache L2 2MB compartida Frecuencia de CPU 1 1 1 9 GHz Frecuencia de GPU 266 600 MHz Nucleos de GPU 128 Max TDP 11 22W Soporte para memoria DDR3 1600 Socket FT3Supercomputadoras EditarPara diciembre de 2012 la computadora mas rapida del mundo Titan usaba 18 688 CPU AMD Opteron 6274 de 16 nucleos y corria a 17 59 petaFLOPS Las supercomputadoras basadas en Opteron mencionadas en la lista de las 100 computadoras mas rapidas del mundo al 20 de noviembre de 2014 9 N 2 Oak Ridge National Laboratory EEUU Titan Cray XK7 AMD64 Opteron de 16 nucleos a 2200 MHz Cray Inc 560 640 nucleos en total Rpeak 27 11 PFlops N 39 ERDC DSRC EEUU Garnet Cray XE6 AMD64 Opteron de 16 nucleos a 2500 MHz Cray Inc 150 528 nucleos en total Rpeak 1 5 PFlops N 40 DOE NNSA LANL SNL EEUU Cielo Cray XE6 AMD64 Opteron de 8 nucleos a 2 4 GHz Cray Inc 142 272 nucleos en total Rpeak 1 37 PFlops N 44 DOE SC LBNL NERSC EEUU Hopper Cray XE6 AMD64 Opteron de 12 nucleos a 2 1 GHz Cray Inc 153 408 nucleos en total Rpeak 1 29 PFlops N 60 HWW Universitaet Stuttgart Alemania HERMIT Cray XE6 AMD64 Opteron de 16 nucleos a 2 3 GHz Cray Inc 113 472 nucleos en total Rpeak 1 04 PFlops N 80 University of Edinburgh Reino Unido HECToR Cray XE6 AMD64 Opteron 2 3 GHz Cray Inc 90 112 nucleos en total Rpeak 829 03 TFlops N 84 Indiana University EEUU Big Red II Cray XK7 AMD64 Opteron de 16 nucleos a 2 3 GHz Cray Inc 31 288 nucleos en total Rpeak 1 000 6 TFlops N 87 NOAA Oak Ridge National Laboratory EEUU Gaea C2 Cray XE6 AMD64 Opteron de 16 nucleos a 2 3 GHz Cray Inc 77 824 nucleos en total Rpeak 715 9 TFlops Cuestiones EditarOpteron sin Administrador Optimizado de Energia Editar AMD ha comercializado algunos procesadores Opteron sin soporte para Optimized Power Management OPM en espanol Administrador Optimizado de Energia los cuales usan memorias DDR La siguiente tabla describe aquellos procesadores que carecen del OPM Frecuencia deMax consumo Frecuencia deMin consumo Modelo Zocalo Nucleos TDP W Proceso deFabricacion Numero de parte OPN 1400 MHz N A 140 Socket 940 1 82 1 130 nm OSA140CEP5AT1400 MHz N A 240 Socket 940 1 82 1 130 nm OSA240CEP5AU1400 MHz N A 840 Socket 940 1 82 1 130 nm OSA840CEP5AV1600 MHz N A 142 Socket 940 1 82 1 130 nm OSA142CEP5AT1600 MHz N A 242 Socket 940 1 82 1 130 nm OSA242CEP5AU1600 MHz N A 842 Socket 940 1 82 1 130 nm OSA842CEP5AV1600 MHz N A 242 Socket 940 1 85 3 90 nm OSA242FAA5BL1600 MHz N A 842 Socket 940 1 85 3 90 nm OSA842FAA5BM1600 MHz N A 260 Socket 940 2 55 0 90 nm OSK260FAA6CB1600 MHz N A 860 Socket 940 2 55 0 90 nm OSK860FAA6CCRetirada de Opteron Editar AMD ha hecho una retirada de producto de algunos procesadores Opteron de un nucleo revision E4 incluyendo modelos x52 2 6 GHz y x54 2 8 GHz los cuales usan memorias DDR La siguiente tabla describe los procesadores afectados tal como aparecen en el anuncio de AMD 10 Frecuencia deMax consumo Un procesador Doble Procesador Multi Procesador Zocalo2600 MHz 152 252 852 Socket 9402800 MHz N A 254 854 Socket 9402600 MHz 152 N A N A Socket 9392800 MHz 154 N A N A Socket 939Los procesadores afectados puede producir inconsistencias en los resultados en presencia de condiciones especificas concurrentes La ejecucion de secuencias de codigo de coma flotante intensiva Elevada temperatura del procesador Elevada temperatura ambienteUn programa de verificacion para identificar los procesadores AMD Opteron listados arriba que pueden verse afectados bajo estas tres condiciones especificas esta disponible solo para los OEM de AMD cita requerida AMD reemplazara esos procesadores sin cargo cita requerida Reconocimiento EditarEn el numero de febrero de 2010 la revista Custom PC una revista britanica especializada en hardware de PC el AMD Opteron 144 lanzado en el verano de 2005 aparece en el Salon de la Fama del Hardware Se lo describe como La mejor CPU para overclockers jamas hecha debido a su bajo costo y capacidad de funcionar a velocidades mucho mas alla de su velocidad de produccion segun Custom PC podria funcionar a cerca de 3 GHz en el aire Referencias Editar SPECint2006 Rate Results for multiprocessor systems Consultado el 27 de diciembre de 2008 Product Brief Next Generation AMD Opteron Processor with DDR2 and AMD Virtualization en ingles Advanced Micro Devices Archivado desde el original el 1 de septiembre de 2006 Consultado el 27 de junio de 2021 AMD Introduces the World s Most Advanced x86 Processor Designed for the Demanding Datacenter Press release AMD 10 de septiembre de 2007 Consultado el 6 de enero de 2014 The Inner circuitry of the powerful quad core AMD processor Photo AMD Archivado desde el original el 28 de noviembre de 2008 Consultado el 6 de enero de 2010 Quad Core Upgradeability Archivado desde el original el 6 de marzo de 2007 Consultado el 6 de marzo de 2007 6 core Opteron Processors codenamed Istanbul were announced on July 1 2009 They were a drop in upgrade for existing Socket F servers HT Assist What is it and how does it help Consultado el 2 de enero de 2013 Merritt Rick AMD tips quad core performance EETimes com Consultado el 16 de marzo de 2007 AMD Opteron X2150 APU Consultado el 19 de octubre de 2014 Lista TOP500 noviembre de 2014 TOP500 Archivado desde el original el 29 de diciembre de 2014 Consultado el 28 de diciembre de 2014 AMD Opteron Processor Models x52 and x54 Production Notice Advanced Micro Devices Abril de 2006 Consultado el 30 de noviembre de 2006 Vease tambien EditarAMD64 AMD Athlon 64 Anexo Procesadores AMD FXEnlaces externos Editar Wikimedia Commons alberga una categoria multimedia sobre AMD Opteron Datos Q295060 Multimedia AMD Opteron Obtenido de https es wikipedia org w index php title AMD Opteron amp oldid 139162274, wikipedia, wiki, leyendo, leer, libro, biblioteca,

español

, española, descargar, gratis, descargar gratis, mp3, video, mp4, 3gp, jpg, jpeg, gif, png, imagen, música, canción, película, libro, juego, juegos