fbpx
Wikipedia

Zen (microarquitectura)

Zen es el nombre en clave de una microarquitectura de procesadores de AMD, fue usada primero en la serie de procesadores Ryzen en febrero de 2017.[2]​ La primera demostración de un sistema basado en Zen fue exhibida en la E3 de 2016, y se detalló por primera vez de forma sustancial en un evento organizado a una cuadra del foro de desarrolladores Intel (IDF) 2016. Los primeros procesadores basados en Zen con nombre en clave "Summit Ridge" llegaron al mercado a principios de marzo de 2017, los procesadores de servidor basados en Zen, Epyc, se lanzaron en junio de 2017[9]​ y las APUs basadas en Zen en noviembre de 2017.[10]

Zen
Información
Tipo Microarquitectura
Desarrollador AMD
Fabricante GlobalFoundries[1]
Fecha de lanzamiento Primer trimestre de 2017[2]
Datos técnicos
Memoria DDR4
Longitud del canal MOSFET 14 nm (FinFET)[1]
Conjunto de instrucciones AMD64 (x86-64)
Código CPUID Family 17h
Número de núcleos 2–4 (entrada)
4–8 (general)
8–16 (entusiasta)[2][3][4][5]
Hasta 32 (servidores)[2][6]
Caché L1 64 KiB para instrucciones, 32 Kib de datos por núcleo
Caché L2 512 KiB por núcleo
Caché L3 8 MiB por cada CCX de cuatro núcleos
Tipo de zócalo AM4[7]
TR4
SP3
Marcas comerciales
Nombre (s) de código de producto
Summit Ridge (Escritorio)
Whitehaven (Escritorio de alto rendimiento)
Raven Ridge (APUs embebidos)
Naples (Procesadores de servidor)
Snowy Owl (APUs de servidor)[8]
Cronología
Excavator
Zen
Zen+
Una ilustración muy simplificada de la microarquitectura Zen: Un núcleo tiene un total de 512 KiB de caché L2.

Zen es un diseño desde cero que difiere de la microarquitectura de larga trayectoria Bulldozer. Los procesadores basados en Zen utilizan un proceso FinFET de 14 nm, según los informes, son más eficientes energéticamente, y pueden ejecutar significativamente más instrucciones por ciclo. Se introduce el SMT (multihilo simultáneo), permitiendo que cada núcleo ejecute dos hilos. El sistema de caché también ha sido rediseñado, cambiando la política de escritura de la memoria caché L1 a una del tipo write-back. Los procesadores Zen utilizan tres zócalos diferentes: chips Ryzen para equipos de escritorio y portátiles usan el zócalo AM4, entregando soporte a DDR4; los chips Threadripper basados en Zen para equipos de escritorio de alto rendimiento (HEDT) soportan RAM DDR4 de cuádruple canal (quad-channel) y ofrecen 64 líneas 64 PCIe 3.0 (a diferencia de las 24 líneas en Ryzen), usando el zócalo TR4;[11][12]​ y los procesadores de servidor Epyc ofrecen 128 líneas PCI 3.0 y DDR4 de óctuple canal (octa-channel) usando el zócalo SP3. pero no todos los procesadores que usan AM4 están basados en la microarquitectura Zen (la séptima generación de APUs y procesadores Anthlon X4 están basados en la microarquitectura Excavator).

Zen se basa en un diseño SoC.[13]​ Los controladores de memoria, PCIe, SATA y USB están integrados en el mismo chip que los núcleos del procesador. Esto tiene ventajas en el ancho de banda y el consumo de energía, a expensas de la complejidad y área del chip.[14]​ Este diseño SoC permite que la microarquitectura Zen escale desde computadoras portátiles y mini PC de factor de forma pequeño hasta computadoras de escritorio de gama alta y servidores.

Para 2020, AMD ya ha despachado 260 millones de núcleos Zen.[15]

Diseño

Según AMD, el enfoque principal de Zen es aumentar el rendimiento por núcleo.[16][17][18]​ Características nuevas o mejoradas se incluyen:[19]

  • El caché L1 ha cambiado su política de escritura de write-through a una write-back, permitiendo menor latencia y mayor ancho de banda.[20]
  • La arquitectura SMT (multihilo simultáneo) permite dos hilos por núcleo, una diferencia del diseño CMT (multihilo agrupado) utilizado en la arquitectura Bulldozer anterior. Esta es una característica ofrecida anteriormente en algunos procesadores IBM, Intel y Oracle.[21]
  • Un componente fundamental para todos los procesadores basados en Zen es el Core Complex (CCX) que consta de cuatro núcleos y sus cachés asociados. Los procesadores con más de cuatro núcleos consisten en múltiples CCX conectados por Infinity Fabric.[22]​ Los procesadores con número de núcleos que no sea un múltiplo de cuatro, tienen algunos núcleos deshabilitados.
  • Cuatro ALUs, dos unidades AGU/LSU y dos unidades de coma flotante por núcleo.[23]
  • Recientemente introducido el "gran" micro-operation cache.[24]
  • Cada núcleo SMT puede enviar hasta seis microoperaciones por ciclo (una combinación de 6 micro-operaciones enteras y 4 micro-operaciones de punto flotante por ciclo).[25][26]
  • Ancho de banda L1 y L2 casi 2 veces más rápido, con un ancho de banda total de caché L3 de hasta 5 veces.[27]
  • Clock gating (reducción de la energía disipada desactivando señal de reloj cuando no está en uso).[28]
  • Predictor de saltos mejorado usando un sistema perceptrón hash con una matriz indirecta de objetivos, similar a la microarquitectura Bobcat,[29]​ algo que ha sido comparado con una red neuronal por el ingeniero de AMD Mike Clark.[30][31]
  • Motor de pila dedicado para modificar el puntero de pilas, similar al de los procesadores Intel Haswell y Broadwell..[32]
  • Compatibilidad binaria con la microarquitectura Skylake de Intel:
    • Soporte para RDSEED, un conjunto de instrucciones de hardware de alto rendimiento generadoras de números aleatorios introducidas en Broadwell.[33]
    • Soporte para las instrucciones SMAP, SMEP, XSAVEC/XSAVES/XRSTORS, y CLFLUSHOPT.[33]
    • Soporte para ADX y SHA.[34]
  • Instrucción CLZERO para borrar línea de caché.[33]
  • Coalescencia de las entradas de las tablas de paginación (PTE)) , que combina tablas de paginación de 4 kiB en una página de tamaño 32 kiB.
  • "Pure Power" (sensores de monitoreo de potencia más precisos).[31][35]
  • Neural Net Prediction y Smart Prefetch.[31]
  • Precision Boost.[31]
  • eXtended Frequency Range (XFR), una función de overclocking automático que aumenta la velocidad del reloj más allá de la frecuencia turbo especificada.[31][36]
Esta es la primera vez en mucho tiempo que los ingenieros tenemos la libertad total de construir un procesador desde cero y hacer lo mejor que podamos. Es un proyecto de varios años con un equipo realmente grande. Es como una maratón con algunas carreras cortas en el medio. El equipo está trabajando muy duro, pero pueden ver la línea de meta. Garantizo que ofrecerá una gran mejora en el rendimiento y el consumo de energía con respecto a la generación anterior.
Suzanne Plummer, Líder del equipo Zen, 19 de septiembre de 2015.[37]
 
Fotografía de un chip Ryzen 3 1200

La arquitectura Zen se basa en un proceso de 14 nanómetros FinFET subcontratado a GlobalFoundries,[38]​ que a su vez posee una licencia de su proceso de 14 nm de Samsung Electronics.[39]​ Esto proporciona una mayor eficiencia que los procesos de 32 nm y 28 nm que los anteriores procesadores AMD FX y APUs de AMD, respectivamente.[40]​ La familia de procesadores Zen "Summit Ridge" usan el zócalo AM4 y cuentan con soporte para memoria DDR4 y una potencia de diseño térmico (TDP) de 95 W.[40]​ Si bien las hojas de ruta más recientes no confirmaban el TDP para productos de escritorio, sugerían una gama de productos móviles de baja potencia de hasta dos núcleos Zen de 5 a 15 W y para productos móviles orientados al rendimiento con hasta cuatro núcleos Zen de 15 a 35 W de potencia.[41]

Cada núcleo Zen puede decodificar cuatro instrucciones por ciclo de reloj e incluye un caché micro-op que alimenta a dos programadores, un programador para cada uno de los segmentos enteros y de coma flotante.[28][42]​Cada núcleo tiene dos unidades de generación de direcciones, cuatro unidades enteras y cuatro unidades de coma flotante. Dos de las unidades de coma flotante son sumadores, y dos son sumadores múltiples. Sin embargo, usando operaciones de multiplicación con suma puede evitar la operación de adición simultánea en una de las unidades sumadoras.[43]​ También hay mejoras en el predictor de ramas. El tamaño del caché L1 es de 64 KiB para instrucciones por núcleo y 32 KiB para datos por núcleo. El tamaño del caché L2 es de 512 KiB por núcleo y el caché L3 es de 1 a 2 MB por núcleo. Los caché L3 ofrecen 5 veces el ancho de banda de los diseños anteriores de AMD.[27]

Historia y desarrollo

AMD comenzó a planificar la microarquitectura Zen poco después de volver a contratar a Jim Keller en agosto de 2012.[44]​ AMD reveló formalmente Zen en el año 2015.

El equipo a cargo del Zen fue dirigido por Keller (quien se fue de AMD en septiembre de 2015 después de un período de 3 años) y la líder del equipo Zen, Suzanne Plummer.[45][46]​ El jefe de microarquitectura de Zen fue uno de los socios principales de AMD, Michael Clark.[47][48][49]

Zen se planeó originalmente para 2017 siguiendo sul núcleo hermano K12 basado en ARM64, pero en el Financial Analyst Day de 2015 de AMD se reveló que la microarquitectura K12 fue retrasada en favor de comenzar el diseño de Zen, para permitirle ingresar al mercado dentro del plazo del año 2016,[7]​ con el lanzamiento de los primeros procesadores basados ​​en Zen que se esperaban para octubre de 2016.[50]

En noviembre de 2015, una fuente dentro de AMD informó que los microprocesadores Zen habían sido probados y "cumplieron con todas las expectativas" sin "cuellos de botella significativos encontrados".[1][51]

En diciembre de 2015, se rumoreaba que Samsung podría haber sido contratado como fabricante de los procesadores FinFET de 14 nm de AMD, incluyendo tanto Zen como la próxima microarquitectura de procesadores gráficos de AMD, llamada Polaris.[52]​ Esto fue aclarado por el anuncio de julio de 2016 de AMD de que los productos se habían producido con éxito en el proceso FinFET de 14 nm de Samsung.[53]​ AMD declaró que Samsung se usaría "si fuera necesario", argumentando que esto reduciría el riesgo de AMD al disminuir la dependencia a cualquier empresa fabricante de semiconductores.

En diciembre de 2019, AMD comenzó a lanzar productos Ryzen de primera generación creados con la arquitectura Zen + de segunda generación.[54]

Ventajas sobre sus predecesores

Proceso de fabricación

Los procesadores basados en Zen utilizan silicio FinFET de 14 nm.[55]​ Según los informes, estos procesadores se producen en GlobalFoundries.[56]​ Antes de Zen, el tamaño de proceso más pequeño de AMD era 28 nm, tal como el utilizado en sus microarquitecturas Steamroller y Excavator.[57][58]​ Los principales competidores, las microarquitecturas Skylake y Kaby Lake de Intel, también se fabrican con FinFET de 14 nm;[59]​ aunque Intel planeó comenzar el lanzamiento de productos en 10 nm después en el año 2017.[60]​ En comparación con el FinFET de 14 nm de Intel, AMD afirmó en febrero de 2017 que los núcleos Zen serían un 10% más pequeños.[61]​ Intel anunció más tarde en julio de 2018 que no se deben esperar procesadores convencionales de 10 nm antes de la segunda mitad de 2019.[62]

Para diseños idénticos, estas reducciones en el tamaño del nodo de los chips utilizarían menos corriente (y energía) a la misma frecuencia (o voltaje). Como los procesadores suelen tener una potencia limitada (normalmente hasta ~ 125 W o ~ 45 W para dispositivos móviles), transistores más pequeños permiten una potencia más baja a la misma frecuencia o una frecuencia más alta a la misma potencia.[63]

Rendimiento

Uno de los principales objetivos de Zen en el año 2016 era centrarse en el rendimiento por núcleo, y apuntaban a una mejora del 40% en las instrucciones por ciclo (IPC) sobre su predecesor.[64]​La microarquitectura Excavator, en comparación, en comparación, ofreció una mejora del 4–15% sobre arquitecturas anteriores.[65][66]​AMD anunció que la microarquitectura Zen final logró una mejora del 52% en IPC por sobre Excavator.[67]​La inclusión del SMT también permitió que cada núcleo procese hasta dos subprocesos, lo que aumenta el rendimiento del procesamiento mediante un mejor uso de los recursos disponibles.

Los procesadores Zen también emplean sensores en todo el chip para escalar dinámicamente la frecuencia y el voltaje.[68]​ Esto permite que el procesador mismo defina la frecuencia máxima de forma dinámica y automática según el sistema de enfriamiento que dispone.

AMD ha demostrado que un procesador Zen de 8 núcleos / 16 hilos supera a un procesador Intel Broadwell-E con el mismo reloj en renderizado Blender[2][8]​ y en benchmarks en HandBrake.[68]

Zen soporta AVX2 pero requiere dos ciclos de reloj para completar cada instrucción AVX2 en comparación con Intel, que sólo necesitan 1 ciclo sus procesadores,[69][70]​esta diferencia fue corregida en la microarquitectura Zen 2.[71]

Memoria

Zen soporta memoria DDR4 (hasta ocho canales)[72]​y ECC.[73]

Los informes previos al lanzamiento indicaron que las APU que usan la arquitectura Zen también soportarían memoria de alto ancho de banda (HBM).[74]​ Sin embargo, la primera APU demostrada no usó HBM.[75]​ Las APU anteriores de AMD dependían de la memoria compartida tanto para la GPU como para la CPU.

Consumo de energía y generación de calor

Los procesadores diseñados en nodos de silicio FinFET de 14 nm deberían mostrar un consumo de energía menor y, por lo tanto, una menor generación de calor que sus predecesores FinFET de 28 nm y 32 nm (para diseños equivalentes), o sea son más computacionalmente potentes con una generación de calor / consumo de energía equivalente.

Zen también usa clock gating,[28]​ reduciendo la frecuencia de porciones subutilizadas del núcleo para ahorrar energía. Esto viene de la tecnología SenseMI de AMD, usando sensores a través del chip para escalar dinámicamente la frecuencia y el voltaje.[68]

Seguridad mejorada y soporte de virtualización

Zen agregó soporte para Secure Memory Encryption (SME) y Secure Encrypted Virtualization (SEV) de AMD. Secure Memory Encryption es encriptación de memoria en tiempo real hecho por entrada de tabla de página. El cifrado se produce en un motor AES de hardware y el procesador de "seguridad" integrado (ARM Cortex-A5) administra las claves en el momento del arranque para cifrar cada página, permitiendo encriptar cualquier memoria DDR4 (incluidas las variedades no volátiles). AMD SME también hace que el contenido de la memoria sea más resistente a la indagación de memoria y los ataques de arranque en frío.[76][77]

SME puede usarse para marcar páginas individuales de memoria como encriptadas a través de las tablas de páginas. Una página de memoria marcada como cifrada se descifrará automáticamente cuando se lea desde DRAM y se cifrará automáticamente cuando se escriba en DRAM. La función SME se identifica a través de una función CPUID y se habilita a través de SYSCFG MSR. Una vez habilitado, las entradas de la tabla de páginas determinarán cómo se accede a la memoria. Si una entrada de la tabla de páginas tiene configurada la máscara de cifrado de memoria, entonces se accederá a esa memoria como memoria cifrada. La máscara de cifrado de memoria (así como otra información relacionada) se determina a partir de las configuraciones devueltas a través de la misma función CPUID que identifica la presencia de la característica.

[78]

La función Secure Encrypted Virtualization (SEV) permite que el contenido de la memoria de una máquina virtual (VM) se encripte de forma transparente con una clave única para la VM invitada. El controlador de memoria contiene un motor de cifrado de alto rendimiento que se puede programar con varias claves para que lo utilicen diferentes máquinas virtuales en el sistema. La programación y administración de estas claves es manejada por el firmware AMD Secure Processor que entrega una API para estas tareas.[79]

Conectividad

Incorporando gran parte del puente sur al SoC, el procesador Zen incluye las conexiones SATA, USB, y NVMe PCI Express.[80][81]​ Estas conexiones se pueden aumentar con los chipsets para el zócalo AM4 disponibles que agregan opciones de conectividad, incluyendo conexiones SATA y USB adicionales, y soporte para el Crossfire de AMD y SLI de Nvidia.[82]

AMD, al anunciar su línea Radeon Instinct, argumentaba que los procesadores de servidor Naples basados en Zen serían particularmente adecuados para construir sistemas de aprendizaje profundo (deep learning).[83][84]​ Las 128[85]​ líneas PCIe de cada procesador Naples permiten que 8 tarjetas Radeon Instinct puedan ser conectadas en 8 puertos PCIe de x16 con un solo procesador.

Productos

La microarquitectura Zen se utiliza en los procesadores de escritorio Ryzen 1000. También se encuentra en los procesadores de servidor Epyc (sucesor de los procesadores Opteron) y APU.[74][86][87]​ Los primeros procesadores de escritorio sin unidades de procesamiento de gráficos (con nombre en clave "Summit Ridge") inicialmente se esperaba que comenzaran a venderse a finales de 2016, según una hoja de ruta de AMD; con los primeros procesadores móviles y de escritorio del tipo APU de AMD (con nombre en código "Raven Ridge") a finales de 2017.[88]​AMD retrasó oficialmente Zen hasta el primer trimestre de 2017. En agosto de 2016, una demostración temprana de la arquitectura mostró una CPU de muestra de ingeniería de 8 núcleos / 16 hilos a 3.0 GHz.[8]

En diciembre de 2016, AMD anunció oficialmente la línea de CPU de escritorio bajo la marca Ryzen para su lanzamiento en el primer trimestre de 2017. También confirmó que los procesadores de servidor se lanzarían en el segundo trimestre de 2017 y las APU móviles en el segundo semestre de 2017.[89]

El 2 de marzo de 2017, AMD lanzó oficialmente los primeros procesadores de escritorio Ryzen de ocho núcleos basados en la arquitectura Zen. Las velocidades finales de reloj y TDP para los 3 procesadores lanzados en el primer trimestre de 2017 demostraron beneficios significativos de rendimiento por vatio sobre la arquitectura anterior microarquitectura K15h (Piledriver).[90][91]​ Los procesadores de escritorio de ocho núcleos Ryzen demostraron un rendimiento por vatio comparable a los procesadores de ocho núcleos Broadwell de Intel.[92][93]

En marzo de 2017, AMD también mostró una muestra de ingeniería de una CPU de servidor basada en la arquitectura Zen. El procesador (con el nombre en código "Naples") se configuró como una plataforma de servidor de doble zócalo, con cada procesador de 32 núcleos/64 hilos.[2][8]

Procesadores de escritorio

Primera generación de procesadores Ryzen (serie Ryzen 1000):

Modelo Fecha de lanzamiento
y precio
Proceso de fabricación Núcleos/
(hilos)
Frecuencia de reloj (GHz) Caché[Nota1 1] Zócalo (Socket) Líneas PCIe
[Nota1 2]
Soporte de memoria TDP
Base Precision boost
1–2
(≥3)
XFR[96]
1–2
L1 L2 L3
Segmento de entrada
Ryzen 3 1200[Nota1 3][99][100][101] 27 de julio de 2017
US $109
GloFo
14LP
4 (4) 3,1 3,4
(3,1)
3,45 64 KB inst.
32 KB de datos
por núcleo
[102][103][104][105][106][107][108]
512 KB
por núcleo
[109][110][111]
8 MB AM4 24[112] DDR4-2666
doble-canal
65 W
Ryzen 3 1300X[Nota1 3][99][100] 27 de julio de 2017
US $129
3,5 3,7
(3,5)
3,9
Segmento general
Ryzen 5 1400 11 de abril de 2017
EUA $169
GloFo
14LP
4 (8) 3,2 3,4
(3,4)
3,45 64 KB inst.
32 KB de datos
por núcleo
[102][103][104][105][106][107][108][113][114][115]
512 KB
por núcleo
[109][110][111]
8 MB AM4 24[112] DDR4-2666
doble-canal
65 W
Ryzen 5 1500X[Nota1 3] 11 de abril de 2017
EUA $189
3,5 3,7
(3,6)
3,9 16 MB
Ryzen 5 1600[Nota1 3] 11 de abril de 2017
EUA $219
6 (12) 3,2 3,6
(3,4)
3,7
Ryzen 5 1600X 11 de abril de 2017
EUA $249
3,6 4,0
(3,7)
4,1 95 W
Segmento rendimiento
Ryzen 7 1700[Nota1 3] 2 de marzo de 2017
EUA $329
GloFo
14LP
8 (16) 3,0 3,7
(3,2)
3,75 64 KB inst.
32 KB de datos
por núcleo
[116][117][117][118]
512 KB
por núcleo
[109][110][111]
16 MB AM4 24[112] DDR4-2666
doble-canal
65 W
Ryzen 7 1700X[Nota1 3] 2 de marzo de 2017
US $399 (sin disipador de calor de fábrica (WOF))
3,4 3,8[119]
(3,5)
3,9 95 W
Ryzen 7 1800X 2 de marzo de 2017
EUA $499 (WOF)
3,6 4,0
(3,7)
4,1
Segmento escritorio de alto rendimiento (HEDT)
Ryzen Threadripper 1900X[109][120][121] 31 de agosto de 2017
EUA $549
GloFo
14LP
8 (16) 3,8 4,0
(3,9)[122][123]
4,2 64 KB inst.
32 KB de datos
por núcleo
[111][110]
512 KB
por núcleo
[110][111]
16 MB TR4[124] 64[125] DDR4-2666
Cuádruple-canal
[124][126]
180 W
Ryzen Threadripper 1920X[127][109] 10 de agosto de 2017
EUA $799
12 (24) 3,5 4,0[128]
(3.7)[129]
4,2 32 MB [110][111]
Ryzen Threadripper 1950X[127][109] 10 de agosto de 2017
EUA $999
16 (32) 3,4 4,0
(3,7)
4,2
  1. AMD en su documentación técnica usa KB, lo cual define como Kilobyte y equivale a 1024 bytes, y MB, lo cual define como Megabyte y equivale a 1024 KB.[94]
  2. Conteo de líneas PCIe incluye 4 líneas usadas para conectividad con el chipset.[95]
  3. Modelo también disponible como variante Pro para OEMs, el cual podría ofrecer otras funciones no mencionadas en esta tabla. Los modelos Pro fueron lanzados por AMD el 29 de junio de 2017.[97][98]

APUs de escritorio

Las APU Ryzen se identifican por el sufijo G o GE en su nombre.

Modelo Fecha de lanzamiento y
precio
Proceso de fabricación CPU GPU Soporte de memoria TDP
Núcleos/
(hilos)
Frecuencia de reloj (GHz) Caché[Nota2 1] Modelo Config.[Nota2 2] Reloj Poder de
procesamiento
(GFLOPS)[Nota2 3]
Base Boost L1 L2 L3
Athlon 200GE[131][132] 6 de septiembre de 2018
EUA $55
GloFo
14LP
2 (4) 3,2 N/D 64 KB inst.
32 KB de datos
por núcleo
512 KB
por núcleo
4 MB Vega 3 192:12:4
3 CU
1000 MHz 384 DDR4-2666
doble-canal
35 W
Athlon Pro 200GE[133][132] 6 de septiembre de 2018
OEM
Athlon 220GE[134] 21 de diciembre de 2018
EUA $65
3,4
Athlon 240GE[134] 21 de diciembre de 2018
EUA $75
3,5
Athlon 3000G[135] 19 de noviembre de 2019
US $49
1100 MHz 424,4
Athlon 300GE[136] 7 de julio de 2019

OEM

3,4
Athlon Silver 3050GE[137] 21 de julio de 2020

OEM

Ryzen 3 2200GE[138][139] 19 de abril de 2018
OEM
4 (4) 3,2 3,6 Vega 8 512:32:16
8 CU
1126 DDR4-2933
doble-canal
Ryzen 3 Pro 2200GE[140] 10 de mayo de 2018
OEM
Ryzen 3 2200G[141] 12 de febrero de 2018[142]
EUA $99
3,5 3,7 45–65 W
Ryzen 3 Pro 2200G[143] 10 de mayo de 2018
OEM
Ryzen 5 2400GE[144][139] 19 de abril de 2018
OEM
4 (8) 3,2 3,8 RX Vega 11 704:44:16
11 CU[145]
1250 MHz 1760 35 W
Ryzen 5 Pro 2400GE[146] 10 de mayo de 2018
OEM
Ryzen 5 2400G[147] February 12, 2018[142][148]
US $169
3,6 3,9 45–65 W
Ryzen 5 Pro 2400G[149] 10 de mayo de 2018
OEM
  1. AMD en su documentación técnica usa KB, lo cual define como Kilobyte y equivale a 1024 bytes, y MB, lo cual define como Megabyte y equivale a 1024 KB.[130]
  2. Sombreadores unificados : Unidades de mapeo de texturas : unidades de salida de render y unidades de cómputo (CU)
  3. El rendimiento de precisión simple se calcula a partir de la velocidad del reloj base o turbo (boost) basada en una operación FMA (operación de suma-multiplicación de punto flotante)

APUs móviles

Modelo Fecha de
lanzamiento
CPU GPU Soporte de memoria TDP Número de parte
Núcleos
(hilos)
Frecuencia de reloj (GHz) Caché[Nota3 1] Modelo Config.
[Nota3 2]
Reloj Poder de
procesamiento
(GFLOPS)[Nota3 3]
Base Boost L1 L2 L3
Athlon Pro 200U[151] 2019 2 (4) 2,3 3,2 64 KB inst.
32 KB de datos
por núcleo
512 KB
por núcleo
4 MB Vega 3 192:12:4
3 CU [152]
1000 MHz 384 DDR4-2400
doble-canal
12–25 W YM200UC4T2OFB
Athlon 300U[153] 6 de enero de 2019 2,4 3,3 YM300UC4T2OFG
Ryzen 3 2200U[154] 8 de enero de 2018 2,5 3,4 1100 MHz 422,4 YM2200C4T2OFB
Ryzen 3 3200U[155] 6 de enero de 2019 2,6 3,5 1200 MHz 460,8 YM3200C4T2OFG
Ryzen 3 2300U[156] 8 de enero de 2018 4 (4) 2,0 3,4 Vega 6 384:24:8
6 CU [157]
1100 MHz 844,8 YM2300C4T4MFB
Ryzen 3 Pro 2300U[158] 15 de mayo de 2018 [159] YM230BC4T4MFB
Ryzen 5 2500U[160] 26 de octubre de 2017[160] 4 (8) 3,6 Vega 8 512:32:16
8 CU [161]
1126,4 YM2500C4T4MFB
Ryzen 5 Pro 2500U[162] 15 de mayo de 2018 [159] YM250BC4T4MFB
Ryzen 5 2600H[163] 10 de septiembre de 2018[164] 3,2 DDR4-3200
doble-canal
35–54 W YM2600C3T4MFB
Ryzen 7 2700U[165] 26 de octubre de 2017[165] 2,2 3,8 Vega 10 640:40:16
10 CU [166]
1300 MHz 1664 DDR4-2400
doble-canal
12–25 W YM2700C4T4MFB
Ryzen 7 Pro 2700U[167] 15 de mayo de 2018 [159] YM270BC4T4MFB
Ryzen 7 2800H[163] 10 de septiembre de 2018[164] 3,3 Vega 11 704:44:16
11 CU
1830,4 DDR4-3200
doble-canal
35–54 W YM2800C3T4MFB
  1. AMD en su documentación técnica usa KB, lo cual define como Kilobyte y equivale a 1024 bytes, y MB, lo cual define como Megabyte y equivale a 1024 KB.[150]
  2. Sombreadores unificados : Unidades de mapeo de texturas : unidades de salida de render y unidades de cómputo (CU)
  3. El rendimiento de precisión simple se calcula a partir de la velocidad del reloj base o turbo (boost) basada en una operación FMA (operación de suma-multiplicación de punto flotante)

Procesadores embebidos

En febrero de 2018, AMD anunció las APUs Zen+Vega embebidos de la serie V1000 con cuatro SKU.[168]

Modelo Fecha de lanzamiento Proceso
de
Fabricación
CPU GPU Soporte
de memoria
Ethernet TDP Temperatura de
unión
(°C)
Núcleos
(hilos)
Frecuencia de reloj (GHz) Caché[Nota4 1] Modelo Config.
[Nota4 2]
Reloj Poder de
procesamiento
(GFLOPS)[Nota4 3]
Base Boost L1 L2 L3
V1500B[170] Diciembre de 2018 GloFo
14LP
4 (8) 2,2 N/D 64 KB
inst.
32 KB
de datos
por núcleo
512 KB
por núcleo
4 MB N/D DDR4-2400
doble-canal
2 × 10GbE 12–25 W 0-105
V1780B[170] 3,35 3,6 DDR4-3200
doble-canal
35-54 W
V1202B[170] Febrero de 2018 2 (4) 2,3 3,2 RX Vega 3 192:12:16
3 CU
1000 MHz 384 DDR4-2400
doble-canal
12–25 W
V1404I[170] Diciembre de 2018 4 (8) 2,0 3,6 RX Vega 8 512:32:16
8 CU
1100 MHz 1126,4 -40-105
V1605B[170] Febrero de 2018 0-105
V1756B[170] 3,25 1300 MHz 1331,2 DDR4-3200
doble-canal
35–54 W
V1807B[170] 3,35 3,8 RX Vega 11 704:44:16
11 CU
1830,4
  1. AMD define 1 kilobyte (KB) como 1024 bytes, y 1 megabyte (MB) como 1024 kilobytes.[169]
  2. Sombreadores unificados : Unidades de mapeo de texturas : unidades de salida de render y unidades de cómputo (CU)
  3. El rendimiento de precisión simple se calcula a partir de la velocidad del reloj base o turbo (boost) basada en una operación FMA (operación de suma-multiplicación de punto flotante)

Procesadores para servidores

 
Epyc

AMD anunció en marzo de 2017 que lanzaría una plataforma de servidor basada en Zen, cuyo nombre en código era Naples, en el segundo trimestre del año. La plataforma incluye sistemas de 1 y 2 zócalos.Los procesadores en configuraciones de multi-CPU se comunican a través de Infinity Fabric de AMD.[171]​Cada chip admite ocho canales de memoria y 128 líneas PCIe 3.0, de las cuales 64 líneas se utilizan para la comunicación entre procesadores a través de Infinity Fabric cuando se instalan en una configuración de doble procesador.[172]​AMD reveló oficialmente Naples bajo la marca Epyc en mayo de 2017.[173]

El 20 de junio de 2017, AMD lanzó oficialmente los procesadores de la serie Epyc 7000 en un evento de lanzamiento en Austin, Texas.[174]





Modelo Proceso de
fabricación
Configuración del
Zócalo (Socket)
Núcleos/FPUs
(hilos)
Frecuencia de reloj (GHz) Caché[Nota5 1] Líneas
PCIe
Soporte de memoria TDP Fecha de
lanzamiento
Precio de
lanzamiento
(USD)
Base Boost L1
(KB)
L2
(KB)
L3
(MB)
Todos los núcleos Máx.
EPYC 7351P[176][177][178] 14nm 1P &&&&&&&&&&&&&016.&&&&&016 (32) 2,4 2,9 64 KB inst.
32 KB de datos
por núcleo
512 KB
por núcleo
64 128 DDR4-2666
8 canales
155/170 W Junio de 2017
[179]
0 $750+
EPYC 7401P[176][177][178] &&&&&&&&&&&&&024.&&&&&024 (48) 2,0 2,8 3,0 $1075+
EPYC 7551P[176][177][178] &&&&&&&&&&&&&032.&&&&&032 (64) 2,55 180 W $2100+
EPYC 7251[176][177][178] 2P &&&&&&&&&&&&&&08.&&&&&08 (16) 2,1 2,9 32 DDR4-2400
8 canales
120 W 0 $475+
EPYC 7261[180] 2,5 64 DDR4-2666
8 canales
155/170 W Mediados de 2018 0$700+
EPYC 7281[176][177][178] &&&&&&&&&&&&&016.&&&&&016 (32) 2,1 2,7 32 Junio de 2017
[179]
0 $650+
EPYC 7301[176][177][178] 2,2 64 0$800+
EPYC 7351[176][177][178] 2,4 2,9 $1100+
EPYC 7371[181] 3,1 3,6 3,8 180 W Finales de 2018 $1550+
EPYC 7401[176][177][178] &&&&&&&&&&&&&024.&&&&&024 (48) 2,0 2,8 3,0 155/170 W Junio de 2017
[179]
$1850+
EPYC 7451[176][177][178] 2,3 2,9 3,2 180 W $2400+
EPYC 7501[176][177][178] &&&&&&&&&&&&&032.&&&&&032 (64) 2,0 2,6 3,0 155/170 W $3400+
EPYC 7551[176][177][178] 2,55 180 W $3400+
EPYC 7601[176][177][178] 2,2 2,7 3,2 $4200+
  1. AMD en su documentación técnica usa "KB", que define como "Kilobyte" y es igual a 1024 bytes (1 KiB), y "MB", lo define como "Megabyte" y es igual a 1024 "KB" (1 MiB).[175]

Procesadores para servidores embebidos

En febrero de 2018, AMD también anunció los procesadores Zen embebidos de la serie EPYC 3000.[182]

Modelo Fecha de lanzamiento Proceso
de
Fabricación
Zócalo
(Socket)
Núcleos
(hilos)
Frecuencia de reloj (GHz) Caché[Nota6 1] Soporte
de memoria
Ethernet TDP Temperatura de
unión
(°C)
Base Boost L1 L2 L3
Todos los núcleos Máx.
EPYC 3101 Febrero de 2018 14nm SP4r2 4 (4) 2,1 2,9 2,9 64 KB inst.
32 KB
de datos
por núcleo
512 KB
por
núcleo
8 MB DDR4-2666
doble-canal
4 × 10GbE 35 W 0-95
EPYC 3151 4 (8) 2,7 2,9 2,9 16 MB 45 W
EPYC 3201 8 (8) 1,5 3,1 3,1 16 MB DDR4-2133
doble-canal
30 W
EPYC 3251 8 (16) 2,5 3,1 3,1 DDR4-2666
doble-canal
55 W 0-105
EPYC 3255 25-55 W -40-105
EPYC 3301 Febrero de 2018 12 (12) 2,0 2,15 3,0 32 MB DDR4-2666
cuádruple-canal
8 × 10GbE 65 W 0-95
EPYC 3351 SP4 12 (24) 1,9 2,75 3,0 60-80 W 0-105
EPYC 3401 SP4r2 16 (16) 1,85 2,25 3,0 32 MB 85 W
EPYC 3451 SP4 16 (32) 2,15 2,45 3,0 80-100 W
  1. AMD define 1 kilobyte (KB) como 1024 bytes, y 1 megabyte (MB) como 1024 kilobytes.[175]

Referencias

  1. «GlobalFoundries announces 14nm validation with AMD Zen silicon». ExtremeTech. 
  2. Anthony, Sebastian (18 de agosto de 2016). «AMD says Zen CPU will outperform Intel Broadwell-E, delays release to 2017». Ars Technica. Consultado el 18 de agosto de 2016. 
  3. «Details of AMD Zen 16-core x86 APU emerge». 
  4. «AMD Zen-based 8-core Desktop CPU Arrives in 2016, on Socket FM3». TechPowerUp. 
  5. Kampman, Jeff (16 de mayo de 2017). «Ryzen Threadripper CPUs will offer 16 cores and 32 threads». Tech Report. Consultado el 16 de mayo de 2017. 
  6. Kennedy, Patrick (16 de mayo de 2017). «AMD EPYC New Details on the Emerging Server Platform». Serve the Home. Consultado el 16 de mayo de 2017. 
  7. Ryan Smith. «AMD’s 2016-2017 x86 Roadmap: Zen Is In, Skybridge Is Out». AnandTech. 
  8. Kampman, Jeff (18 de agosto de 2016). «AMD gives us our first real moment of Zen». Tech Report. Consultado el 18 de agosto de 2016. 
  9. Cutress, Ian. «AMD's Future in Servers: New 7000-Series CPUs Launched and Epyc Analysis». AnandTech. Consultado el 8 de agosto de 2017. 
  10. «HP ENVY x360 Convertible Laptop - 15z touch - HP® Official Store». store.hp.com. 
  11. Brad Chacos (8 de enero de 2016). «AMD Zen-based CPUs and APUs will unify around Socket AM4». PCWorld. 
  12. «Ryzen™ Threadripper™ Processors | AMD». www.amd.com (en inglés). Consultado el 29 de septiembre de 2017. 
  13. «How AMD's powerful Zen chip flouts the SoC stereotype». PCWorld (en inglés). Consultado el 8 de marzo de 2017. 
  14. Cutress, Ian (18 de agosto de 2016). «Early AMD Zen Server CPU and Motherboard Details». Anandtech. Consultado el 22 de marzo de 2017. 
  15. AMD Shipped 260 Million Zen Cores by 2020. AnandTech.
  16. «Weekend tech reading: AMD 'Zen' and their return to high-end CPUs, tracking Windows pirates - TechSpot». techspot.com. Consultado el 12 de mayo de 2015. 
  17. «AMD: Zen chips headed to desktops, servers in 2016 - The Tech Report - Page 1». techreport.com. Consultado el 12 de mayo de 2015. 
  18. Anton Shilov (11 de septiembre de 2014). «AMD: ‘Bulldozer’ was not a game-changer, but next-gen ‘Zen’ will be». KitGuru. Consultado el 1 de febrero de 2015. 
  19. Software Optimization Guide for AMD Family 17h Processors / AMD, June 2017
  20. Cutress, Ian (18 de agosto de 2016). «AMD Zen Microarchitecture: Dual Schedulers, Micro-Op Cache and Memory Hierarchy Revealed». http://www.anandtech.com (en inglés). Consultado el 15 de mayo de 2020. 
  21. . Archivado desde el original el 4 de marzo de 2016. Consultado el 18 de mayo de 2020. 
  22. Ian Cutress (2 de marzo de 2017). «The Core Complex, Caches, and Fabric». Consultado el 21 de junio de 2017. 
  23. Clark, Mike. . AMD. p. 7. Archivado desde el original el 26 de noviembre de 2016. 
  24. Cutress, Ian. «AMD Zen Microarchitecture: Dual Schedulers, Micro-Op Cache and Memory Hierarchy Revealed». 
  25. Mujtaba, Hassan. «AMD Opens The Lid on Zen Architectural Details at Hot Chips – Huge Performance Leap Over Excavator, Massive Throughput on 14nm FinFET Design». WCCFtech. Consultado el 23 de agosto de 2016. 
  26. Walrath, Josh. . PC Perspective (en inglés). Archivado desde el original el 12 de octubre de 2017. Consultado el 13 de marzo de 2017. 
  27. ANTHONY & WALTON, SEBASTIAN & MARK (13 de diciembre de 2016). «AMD’s Zen CPU is now called Ryzen, and it might actually challenge Intel» (en inglés). Consultado el 18 de mayo de 2020. 
  28. Cutress, Ian (18 de agosto de 2016). «AMD Zen Microarchitecture». Anandtech. Consultado el 18 de agosto de 2016. 
  29. Jiménez, Daniel. «Strided Sampling Hashed Perceptron Predictor». Texas A&M University. 
  30. Williams, Chris. «'Neural network' spotted deep inside Samsung's Galaxy S7 silicon brain». The Register. 
  31. Cutress, Ian (2 de marzo de 2017). «The AMD Zen and Ryzen 7 Review: A Deep Dive on 1800X, 1700X and 1700» (en inglés). Consultado el 16 de mayo de 2016. 
  32. Fog, Agner. «The microarchitecture of Intel, AMD and VIA CPUs». Technical University of Denmark. 
  33. «AMD Starts Linux Enablement On Next-Gen "Zen" Architecture». Phoronix. 17 de marzo de 2015. Consultado el 17 de marzo de 2015. 
  34. «AMD Starts Linux Enablement On Next-Gen "Zen" Architecture - Phoronix». Phoronix. 
  35. «AMD Takes Computing to a New Horizon with Ryzen™ Processors». www.amd.com. 
  36. Chen, Sam (24 de junio de 2017). «XFR». Custom PC Review. Consultado el 26 de julio de 2017. 
  37. Kirk Ladendorf - For the American-Statesman. «Amid challenges, chipmaker AMD sees a way forward». 
  38. Lilly, Paul (23 de julio de 2016), «AMD Shipping Zen In Limited Quantity Q4, Volume Rollout Ramps Q1 2017», hothardware.com, «Zen is being built on an advanced GlobalFoundries-sourced 14nm FinFET process» .
  39. Schor, David (22 de julio de 2018). «VLSI 2018: GlobalFoundries 12nm Leading-Performance, 12LP». WikiChip Fuse (en inglés estadounidense). Consultado el 31 de mayo de 2019. 
  40. «14nm AMD Zen CPU Will Have DDR4 and Simultaneous Multithreading». Softpedia. 28 de enero de 2015. Consultado el 31 de enero de 2015. 
  41. . Shattered.Media. May 2015. Archivado desde el original el 17 de noviembre de 2015. Consultado el 18 de mayo de 2020. 
  42. «AMD's Zen core (family 17h) to have ten pipelines per core». 
  43. AMD, "Software Optimization Guider for AMD Family 17h Processors"
  44. «Jim Keller On AMD's Next-Gen High Performance x86 Zen Core & K12 ARM Core». YouTube. 7 de mayo de 2014. 
  45. «Jim Keller Leaves AMD». Anand tech. Consultado el 14 de octubre de 2015. 
  46. Ladendorf, Kirk. «Amid challenges, chipmaker AMD sees a way forward». Austin American-Statesman (en inglés). Consultado el 4 de enero de 2020. 
  47. Merritt, Rick (24 de agosto de 2016). «AMD Reveals Zen of X86». EE Times. Consultado el 3 de marzo de 2017. 
  48. TAKAHASHI, Dean (24 de agosto de 2016). «How AMD designed what could be its most competitive processors in a decade». VentureBeat. Consultado el 3 de marzo de 2017. 
  49. Wong, Adrian (18 de abril de 2017). «Joe Macri : The Disruptive Nature of AMD Ryzen». TechArp. Consultado el 20 de abril de 2017. 
  50. «AMD set to release first ‘Zen’-based microprocessors in late 2016 – document». KitGuru.net. 12 de junio de 2015. Consultado el 30 de agosto de 2015. 
  51. «OC3D :: Article :: AMD Tests Zen CPUs, "Met All Expectation" with no "Significant Bottlenecks" found :: AMD Tests Zen CPUs, Met All Expectation with no Significant Bottlenecks found». 
  52. «Samsung to fab AMD Zen & Arctic islands on its 14 nm Finfet node», Tech power up .
  53. Moorhead, Patrick (25 de julio de 2016). «AMD Officially Diversifies 14nm Manufacturing With Samsung». Forbes. Consultado el 26 de julio de 2016. 
  54. «First-Gen AMD Ryzen CPUs are Appearing with 12nm Zen+ Architecture». 22 de diciembre de 2019. 
  55. «AMD’s next-gen CPU leak: 14nm, simultaneous multithreading, and DDR4 support». ExtremeTech. 
  56. Rulison, Larry (22 de agosto de 2016). «Reports: Chip made by GlobalFoundries beats Intel». Times Union. Consultado el 22 de agosto de 2016. 
  57. «AMD: We have taped out our first FinFET products». KitGuru. 
  58. «CES: AMD finally unveils 28nm APU Kaveri to battle Intel Haswell». The Inquirer. 
  59. «Intel Kaby Lake to compete against AMD Zen at end of 2016». 2 de marzo de 2016. Consultado el 7 de marzo de 2016. «Intel's Kaby Lake-series processors, which are scheduled to launch in the third quarter, but will not begin volume production until the end of 2016, while AMD is set to release its Zen architecture-based processors at the end of the fourth quarter.» 
  60. Edward Jones (21 de octubre de 2016). «AMD Zen: A serious challenge to Intel?». Channel Pro. 
  61. Manion, Wayne (8 de febrero de 2017). «AMD touts Zen die size advantage at ISSCC». Tech Report. Consultado el 10 de febrero de 2017. 
  62. https://arstechnica.com/gadgets/2018/07/intel-says-not-to-expect-mainstream-10nm-chips-until-2h19/
  63. «Intel’s ‘Tick-Tock’ Seemingly Dead, Becomes ‘Process-Architecture-Optimization’». Anandtech. Consultado el 23 de marzo de 2016. 
  64. Smith, Ryan (31 de mayo de 2016). «AMD Briefly Shows Off Zen "Summit Ridge" Silicon». Consultado el 7 de junio de 2016. 
  65. «AMD Announces Zen, 40% IPC Improvement Over Excavator - Coming In 2016». 7 de mayo de 2015. 
  66. Ian Cutress (2 de junio de 2015). «IPC Increases: Double L1 Data Cache, Better Branch Prediction - AMD Launches Carrizo: The Laptop Leap of Efficiency and Architecture Updates». Anandtech. 
  67. Cutress, Ian (22 de febrero de 2017). . Anandtech.com. Archivado desde el original el 27 de febrero de 2017. Consultado el 22 de febrero de 2017. 
  68. Kampman, Jeff (13 de diciembre de 2016). «AMD crests Summit Ridge with Ryzen CPUs». TechReport. Consultado el 13 de diciembre de 2016. 
  69. Cutress, Ian. «AMD Zen Microarchiture Part 2: Extracting Instruction-Level Parallelism». 
  70. Leadbetter, Richard (22 de febrero de 2017). «In Theory: How AMD's Ryzen will disrupt the gaming CPU market». 
  71. Cutress, Ian (10 de junio de 2019). «AMD Zen 2 Microarchitecture Analysis: Ryzen 3000 and EPYC Rome». www.anandtech.com (en inglés). Consultado el 11 de julio de 2020. «The key highlight improvement for floating point performance is full AVX2 support. AMD has increased the execution unit width from 128-bit to 256-bit, allowing for single-cycle AVX2 calculations, rather than cracking the calculation into two instructions and two cycles.» 
  72. «AMD's Zen processors to feature up to 32 cores, 8-channel DDR4». TechSpot. 
  73. MAC (30 de marzo de 2017). «ECC Memory & AMD's Ryzen - A Deep Dive». Hardware Canucks. Consultado el 14 de julio de 2017. 
  74. «Zen-based APU with HBM to be AMD Carrizo successor». 
  75. Shrout, Ryan (30 de mayo de 2017). «Computex 2017: AMD Demos Ryzen Mobile SoC with Vega Graphics». PC Perspective. Consultado el 2 de junio de 2017. 
  76. «[RFC PATCH v1 00/18] x86: Secure Memory Encryption (AMD)». 
  77. «AMD MEMORY ENCRYPTION WHITEPAPER». 
  78. «LKML - Tom Lendacky (AMD) explains AMD Secure Memory Encryption». 
  79. «AMD - Other Developer Guides: Secure Encrypted Virtualization Key Management PDF – 05/19/2016». 
  80. L, Alex; Walrath, Josh (12 de enero de 2017). «Podcast #432 - Kaby Lake, Vega, CES Review». PC Perspective. Consultado el 13 de enero de 2017. 
  81. Mah Ung, Gordon (28 de septiembre de 2016). «How AMD's powerful Zen chip flouts the SoC stereotype». PC World. Consultado el 13 de enero de 2017. 
  82. Justin, Michael; Sexton, Allen (3 de marzo de 2017). «AMD's AM4 Ryzen Chipsets». Tom's Hardware. Consultado el 3 de marzo de 2017. 
  83. Smith, Ryan (12 de diciembre de 2016). «AMD Announces Radeon Instinct: GPU Accelerators for Deep Learning, Coming in 2017». Anandtech. Consultado el 12 de diciembre de 2016. 
  84. Shrout, Ryan (12 de diciembre de 2016). «Radeon Instinct Machine Learning GPUs include Vega, Preview Performance». PC Per. Consultado el 12 de diciembre de 2016. 
  85. Mujtaba, Hassan (7 de marzo de 2017). «AMD Naples High-Performance Server Chips With 32 Cores, 64 Threads Detailed». Wccftech (en inglés estadounidense). Consultado el 24 de noviembre de 2018. 
  86. «AMD Zen FX CPUs, APUs Release Details Surface, Top-Notch Performance In The Cards». Tech Times. 
  87. «32-core AMD Opteron to feature quad-die MCM design». KitGuru. 
  88. Mark Mantel (7 de febrero de 2017). «CPU-Roadmap 2017 - 2018: Künftige AMD- und Intel-CPUs/-APUs in der Übersicht». PC Games Hardware (en alemán). Consultado el 7 de febrero de 2017. 
  89. Larabel, Michael (13 de diciembre de 2016). «AMD Reveals More Zen CPU Details, Officially Known As Ryzen, No Linux Details Yet». Phoronix. Consultado el 13 de diciembre de 2016. 
  90. «Power Consumption And Efficiency - AMD FX-8350 Review: Does Piledriver Fix Bulldozer's Flaws?». Tom's Hardware (en inglés). 22 de octubre de 2012. Consultado el 12 de marzo de 2017. 
  91. «AMD Ryzen 7 1800X: Power Consumption And Temperatures». Tom's Hardware (en inglés). 2 de marzo de 2017. Consultado el 12 de marzo de 2017. 
  92. «AMD Ryzen 7 1800X and AM4 Platform Review». bit-tech (en inglés). Consultado el 12 de marzo de 2017. 
  93. . www.pcper.com (en inglés). Archivado desde el original el 3 de julio de 2017. Consultado el 18 de mayo de 2020. 
  94. «Processor Programming Reference (PPR) for AMD Family 17h Model 01h, Revision B1 Processors» (PDF). AMD Technical Documentation. AMD Developer Central: Advanced Micro Devices, Inc. 15 de abril de 2017. p. 25. Consultado el 1 de noviembre de 2019. 
  95. Hagedoorn, Hilbert (11 de abril de 2017). «AMD Ryzen 5 1500X and 1600X review – The AMD Chipsets». Guru3D. Consultado el 4 de agosto de 2017. 
  96. «Anandtech Ryzen 3 review». anandtech. 
  97. Shilov, Anton (29 de junio de 2017). «AMD Launches Ryzen PRO CPUs». Anandtech. Consultado el 29 de junio de 2017. 
  98. «AMD Ryzen™ PRO Processors». AMD. 
  99. Smith, Ryan (29 de junio de 2017). «AMD Inadvertently Reveals Ryzen 3 1300 & 1200 Details». Anandtech. Consultado el 29 de junio de 2017. 
  100. Bright, Peter (13 de julio de 2017). «AMD Threadripper—16 cores and 32 threads for 999–arrives in August». Ars Technica. Consultado el 13 de julio de 2017. 
  101. Frederiksen, Eric (30 de mayo de 2017). «Dell taps AMD for the Inspiron Gaming Desktop and new AIOs». Tech Report. Consultado el 30 de mayo de 2017. 
  102. «Ryzen™ 3 1200 | Quad Core Performance Processor | AMD». www.amd.com (en inglés). Consultado el 30 de junio de 2018. 
  103. «Ryzen™ 3 PRO 1200 Commercial Grade Processor | AMD». www.amd.com (en inglés). Consultado el 30 de junio de 2018. 
  104. «Ryzen™ 3 1300X Processor for Gaming and Computing | AMD». www.amd.com (en inglés). Consultado el 30 de junio de 2018. 
  105. «Ryzen™ 3 PRO 1300 Desktop Processor | AMD». www.amd.com (en inglés). Consultado el 30 de junio de 2018. 
  106. «Ryzen™ 5 1400 | Performance Gaming and Processing | AMD». www.amd.com (en inglés). Consultado el 30 de junio de 2018. 
  107. «Ryzen™ 5 PRO 1500 | Commercial Grade Processor | AMD». www.amd.com (en inglés). Consultado el 30 de junio de 2018. 
  108. «Ryzen™ 5 1500X | High Performance Processor | AMD». www.amd.com (en inglés). Consultado el 30 de junio de 2018. 
  109. Alcorn, Paul (30 de julio de 2017). «Threadripper Lands August 10, AMD Unveils Pricing, Accessory Kit, New 8-Core Model». Tom's Hardware. Consultado el 1 de agosto de 2017. 
  110. «AMD Ryzen™ Threadripper 1950X». 
  111. «AMD Ryzen™ Threadripper 1920X». 
  112. Walton, Mark (2 de marzo de 2017). «AMD Ryzen 7 1800X still behind Intel, but it’s great for the price». Ars Technica. Consultado el 4 de agosto de 2017. 
  113. «Ryzen™ 5 1600 Processor for Gaming | AMD». www.amd.com (en inglés). Consultado el 30 de junio de 2018. 
  114. «Ryzen™ 5 PRO 1600 Desktop Processor | AMD». www.amd.com (en inglés). Consultado el 30 de junio de 2018. 
  115. «Ryzen™ 5 1600X | Fastest 6 Core Gaming Processor | AMD». www.amd.com (en inglés). Consultado el 30 de junio de 2018. 
  116. «AMD Ryzen™ 7 1700 | AMD». www.amd.com (en inglés). Consultado el 30 de junio de 2018. 
  117. «AMD Ryzen™ 7 1800X Processor | AMD». www.amd.com (en inglés). Consultado el 30 de junio de 2018. 
  118. «AMD Ryzen™ Threadripper™ 1900X Processor | AMD». www.amd.com (en inglés). Consultado el 30 de junio de 2018. 
  119. «AMD Ryzen 7 PRO 1700X». AMD. AMD. Consultado el 2 de noviembre de 2017. 
  120. Cutress, Ian (30 de julio de 2017). «AMD Threadripper 1950X and 1920X Out August 10th». Anandtech. Consultado el 31 de julio de 2017. 
  121. «AMD announces Ryzen Threadripper 1900X on facebook». official Advanced Micro Devices, Inc. account on facebook. 31 de julio de 2017. Consultado el 31 de julio de 2017. 
  122. Alcorn, Paul (23 de octubre de 2017). «AMD Ryzen Threadripper 1900X CPU Review». Tom's Hardware. 
  123. Hagedoorn, Hilbert (31 de agosto de 2017). «AMD releases its eigth [sic] Core Ryzen Threadripper 1900X». Guru3D. 
  124. Manion, Wayne (30 de mayo de 2017). «ROG Zenith Extreme spills some beans on Ryzen Threadripper». Tech Report. Consultado el 30 de mayo de 2017. 
  125. Bright, Peter (13 de julio de 2017). «AMD Threadripper—16 cores and 32 threads for $999–arrives in August». Ars Technica. Consultado el 4 de agosto de 2017. 
  126. Shrout, Ryan (13 de julio de 2017). «AMD Ryzen Threadripper 1950X and 1920X Announced: Flagship Performance at $999». PC Perspective. Consultado el 14 de julio de 2017. 
  127. Cutress, Ian (13 de julio de 2017). «AMD Threadripper 1920X and 1950X CPU Details». Anandtech. Consultado el 13 de julio de 2017. 
  128. Hallock, Robert (12 de junio de 2019). «AMD_Robert comments on AMD Robert Hallock: "not single core boost"». Reddit. Reddit. Consultado el 12 de junio de 2019. 
  129. Kirsch, Nathan (10 de agosto de 2017). «AMD Ryzen Threadripper 1950X and Threadripper 1920X Processor Review». Legit Reviews. 
  130. «Processor Programming Reference (PPR) for AMD Family 17h Model 01h, Revision B1 Processors» (PDF). AMD Technical Documentation. AMD Developer Central: Advanced Micro Devices, Inc. 15 de abril de 2017. p. 25. Consultado el 1 de noviembre de 2019. 
  131. «Processor Specifications». AMD. Consultado el 6 de septiembre de 2018. 
  132. «AMD Announces New $55 Low-Power Processor: Athlon 200GE». AnandTech. Consultado el 6 de septiembre de 2018. 
  133. «Processor Specifications». AMD. Consultado el 6 de septiembre de 2018. 
  134. Günsch, Michael. «AMD: Marktstart für Athlon 220GE und 240GE». ComputerBase (en alemán). Consultado el 21 de diciembre de 2018. 
  135. «AMD Athlon™ 3000G Processor with Radeon™ Graphics». AMD. 
  136. «AMD Athlon™ 300GE». 
  137. «AMD Athlon™ Silver 3050GE». 
  138. «2nd Gen AMD Ryzen™ 3 2200GE Desktop Processor». AMD. Consultado el 19 de abril de 2018. 
  139. Shilov, Anton (12 de febrero de 2018). «AMD Readies Ryzen 3 2200GE & Ryzen 5 2400GE APUs with Reduced TDP». Anandtech. Consultado el 12 de febrero de 2018. 
  140. https://www.amd.com/en/products/apu/amd-ryzen-3-pro-2200ge
  141. «AMD Ryzen™ 3 2200G». Consultado el 19 de enero de 2018. 
  142. «AMD's 2nd-gen Ryzen is coming in April, desktop Ryzen APUs arrive February 12». TechSpot. Consultado el 10 de junio de 2019. 
  143. «Specs». www.amd.com. Consultado el 10 de junio de 2019. 
  144. «AMD Ryzen™ 5 2400GE». Consultado el 19 de abril de 2018. 
  145. «AMD Radeon RX Vega 11 Specs | TechPowerUp GPU Database». Techpowerup.com. Consultado el 10 de junio de 2019. 
  146. «Specs». www.amd.com. Consultado el 10 de junio de 2019. 
  147. «AMD Ryzen™ 5 2400G». Consultado el 19 de enero de 2018. 
  148. Peter Bright - Jan 8, 2018 9:50 pm UTC (8 de enero de 2018). «AMD’s 2018 roadmap: Desktop APUs in February, second-generation Ryzen in April». Ars Technica. Consultado el 10 de junio de 2019. 
  149. «Specs». www.amd.com. Consultado el 10 de junio de 2019. 
  150. «Processor Programming Reference (PPR) for AMD Family 17h Model 01h, Revision B1 Processors» (PDF). AMD Technical Documentation. AMD Developer Central: Advanced Micro Devices, Inc. 15 de abril de 2017. p. 25. Consultado el 1 de noviembre de 2019. 
  151. «AMD Athlon™ PRO 200U Mobile Processor with Radeon™ Vega 3 Graphics». Consultado el 30 de abril de 2019. 
  152. «AMD Radeon Vega 3 Mobile Specs | TechPowerUp GPU Database». Techpowerup.com. Consultado el 10 de junio de 2019. 
  153. «AMD Athlon™ 300U Mobile Processor with Radeon™ Vega 3 Graphics». Consultado el 8 de enero de 2019. 
  154. «AMD Ryzen™ 3 2200U». Consultado el 21 de enero de 2018. 
  155. «AMD Ryzen™ 3 3200U Mobile Processor with Radeon™ Vega 3 Graphics». Consultado el 6 de enero de 2019. 
  156. «AMD Ryzen™ 3 2300U». Consultado el 21 de enero de 2018. 
  157. «AMD Radeon Vega 6 Mobile Specs | TechPowerUp GPU Database». Techpowerup.com. Consultado el 10 de junio de 2019. 
  158. «AMD Ryzen™ 3 PRO 2300U». 21 de enero de 2018. Consultado el 8 de enero de 2018. 
  159. Cutress, Ian (15 de mayo de 2018). «AMD Launches Ryzen Pro with Vega: Mobile APUs and Desktop APUs». Anandtech. Consultado el 28 de agosto de 2018. 
  160. «AMD Ryzen™ 5 2500U». Consultado el 21 de enero de 2018. 
  161. «AMD Radeon Vega 8 Specs | TechPowerUp GPU Database». Techpowerup.com. Consultado el 10 de junio de 2019. 
  162. «AMD Ryzen™ 5 PRO 2500U». 21 de enero de 2018. Consultado el 8 de enero de 2018. 
  163. «AMD Launches Ryzen 7 2800H & Ryzen 5 2600H APUs for High-Performance Laptops». Anandtech.com. Consultado el 10 de junio de 2019. 
  164. «Specs» (PDF). www.amd.com. Consultado el 10 de junio de 2019. 
  165. «AMD Ryzen™ 7 2700U». Consultado el 21 de enero de 2018. 
  166. «AMD Radeon RX Vega 10 Mobile Specs | TechPowerUp GPU Database». Techpowerup.com. Consultado el 10 de junio de 2019. 
  167. «AMD Ryzen™ 7 PRO 2700U». 21 de enero de 2018. Consultado el 8 de enero de 2018. 
  168. Alcorn, Paul (21 February 2018). "AMD Launches Ryzen Embedded V1000, EPYC Embedded 3000 Processors". Tomshardware.com. Retrieved 5 April 2018.
  169. «Processor Programming Reference (PPR) for AMD Family 17h Model 01h, Revision B1 Processors». Processor Programming Reference (PPR) for AMD Family 17h Model 01h, Revision B1 Processors. AMD. Consultado el 14 de julio de 2017. 
  170. «Embedded Processor Specifications». AMD. 
  171. Kampman, Jeff (7 de marzo de 2017). «AMD's Naples platform prepares to take Zen into the datacenter». Tech Report. Consultado el 7 de marzo de 2017. 
  172. Cutress, Ian (7 de marzo de 2017). «AMD Prepares 32-Core Naples CPUs for 1P and 2P Servers: Coming in Q2». Anandtech. Consultado el 7 de marzo de 2017. 
  173. Kampman, Jeff (16 de mayo de 2017). «AMD's Naples datacenter CPUs will make an Epyc splash». Tech Report. Consultado el 16 de mayo de 2017. 
  174. «AMD launches broad Epyc server processor line with up to 32 cores per chip». VentureBeat. 20 de junio de 2017. Consultado el 8 de agosto de 2017. 
  175. «Processor Programming Reference (PPR) for AMD Family 17h Model 01h, Revision B1 Processors» (PDF). AMD Technical Documentation. AMD Developer Central: Advanced Micro Devices, Inc. 15 de abril de 2017. p. 25. Consultado el 1 de noviembre de 2019. 
  176. «AMD EPYC™ 7000 Series Processors: Leading Performance for the Cloud Era». Advanced Micro Devices, Inc. August 2018. p. 2. 
  177. Cutress, Ian (20 de junio de 2017). «AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis». Anand Tech. Consultado el 21 de junio de 2017. 
  178. Cutress, Ian (20 de junio de 2017). «AMD EPYC Launch Event Live Blog». Anand Tech. Consultado el 21 de junio de 2017. 
  179. Kennedy, Patrick (16 de mayo de 2017). «AMD EPYC New Details on the Emerging Server Platform». Serve The Home. Consultado el 16 de mayo de 2017. 
  180. «AMD EPYC™ 7261 | AMD». www.amd.com. Consultado el 20 de enero de 2019. 
  181. «AMD PS7371BEVGPAF EPYC 7371 3.1GHz 16-Core». www.gamepc.com. Consultado el 20 de enero de 2019. 
  182. Alcorn, Paul (21 de febrero de 2018). «AMD Launches Ryzen Embedded V1000, EPYC Embedded 3000 Processors». tom's HARDWARE. Consultado el 5 de abril de 2018. 
  •   Datos: Q19599373
  •   Multimedia: Zen microarchitecture

microarquitectura, nombre, clave, microarquitectura, procesadores, usada, primero, serie, procesadores, ryzen, febrero, 2017, primera, demostración, sistema, basado, exhibida, 2016, detalló, primera, forma, sustancial, evento, organizado, cuadra, foro, desarro. Zen es el nombre en clave de una microarquitectura de procesadores de AMD fue usada primero en la serie de procesadores Ryzen en febrero de 2017 2 La primera demostracion de un sistema basado en Zen fue exhibida en la E3 de 2016 y se detallo por primera vez de forma sustancial en un evento organizado a una cuadra del foro de desarrolladores Intel IDF 2016 Los primeros procesadores basados en Zen con nombre en clave Summit Ridge llegaron al mercado a principios de marzo de 2017 los procesadores de servidor basados en Zen Epyc se lanzaron en junio de 2017 9 y las APUs basadas en Zen en noviembre de 2017 10 ZenInformacionTipoMicroarquitecturaDesarrolladorAMDFabricanteGlobalFoundries 1 Fecha de lanzamientoPrimer trimestre de 2017 2 Datos tecnicosMemoriaDDR4Longitud del canal MOSFET14 nm FinFET 1 Conjunto de instruccionesAMD64 x86 64 Codigo CPUIDFamily 17hNumero de nucleos2 4 entrada 4 8 general 8 16 entusiasta 2 3 4 5 Hasta 32 servidores 2 6 Cache L164 KiB para instrucciones 32 Kib de datos por nucleoCache L2512 KiB por nucleoCache L38 MiB por cada CCX de cuatro nucleosTipo de zocaloAM4 7 TR4SP3Marcas comercialesRyzenRyzen ThreadripperEpycAthlonNombre s de codigo de productoSummit Ridge Escritorio Whitehaven Escritorio de alto rendimiento Raven Ridge APUs embebidos Naples Procesadores de servidor Snowy Owl APUs de servidor 8 CronologiaExcavatorZenZen editar datos en Wikidata Una ilustracion muy simplificada de la microarquitectura Zen Un nucleo tiene un total de 512 KiB de cache L2 Zen es un diseno desde cero que difiere de la microarquitectura de larga trayectoria Bulldozer Los procesadores basados en Zen utilizan un proceso FinFET de 14 nm segun los informes son mas eficientes energeticamente y pueden ejecutar significativamente mas instrucciones por ciclo Se introduce el SMT multihilo simultaneo permitiendo que cada nucleo ejecute dos hilos El sistema de cache tambien ha sido redisenado cambiando la politica de escritura de la memoria cache L1 a una del tipo write back Los procesadores Zen utilizan tres zocalos diferentes chips Ryzen para equipos de escritorio y portatiles usan el zocalo AM4 entregando soporte a DDR4 los chips Threadripper basados en Zen para equipos de escritorio de alto rendimiento HEDT soportan RAM DDR4 de cuadruple canal quad channel y ofrecen 64 lineas 64 PCIe 3 0 a diferencia de las 24 lineas en Ryzen usando el zocalo TR4 11 12 y los procesadores de servidor Epyc ofrecen 128 lineas PCI 3 0 y DDR4 de octuple canal octa channel usando el zocalo SP3 pero no todos los procesadores que usan AM4 estan basados en la microarquitectura Zen la septima generacion de APUs y procesadores Anthlon X4 estan basados en la microarquitectura Excavator Zen se basa en un diseno SoC 13 Los controladores de memoria PCIe SATA y USB estan integrados en el mismo chip que los nucleos del procesador Esto tiene ventajas en el ancho de banda y el consumo de energia a expensas de la complejidad y area del chip 14 Este diseno SoC permite que la microarquitectura Zen escale desde computadoras portatiles y mini PC de factor de forma pequeno hasta computadoras de escritorio de gama alta y servidores Para 2020 AMD ya ha despachado 260 millones de nucleos Zen 15 Indice 1 Diseno 2 Historia y desarrollo 3 Ventajas sobre sus predecesores 3 1 Proceso de fabricacion 3 2 Rendimiento 3 3 Memoria 3 4 Consumo de energia y generacion de calor 3 5 Seguridad mejorada y soporte de virtualizacion 3 6 Conectividad 4 Productos 4 1 Procesadores de escritorio 4 2 APUs de escritorio 4 3 APUs moviles 4 4 Procesadores embebidos 4 5 Procesadores para servidores 4 6 Procesadores para servidores embebidos 5 ReferenciasDiseno EditarSegun AMD el enfoque principal de Zen es aumentar el rendimiento por nucleo 16 17 18 Caracteristicas nuevas o mejoradas se incluyen 19 El cache L1 ha cambiado su politica de escritura de write through a una write back permitiendo menor latencia y mayor ancho de banda 20 La arquitectura SMT multihilo simultaneo permite dos hilos por nucleo una diferencia del diseno CMT multihilo agrupado utilizado en la arquitectura Bulldozer anterior Esta es una caracteristica ofrecida anteriormente en algunos procesadores IBM Intel y Oracle 21 Un componente fundamental para todos los procesadores basados en Zen es el Core Complex CCX que consta de cuatro nucleos y sus caches asociados Los procesadores con mas de cuatro nucleos consisten en multiples CCX conectados por Infinity Fabric 22 Los procesadores con numero de nucleos que no sea un multiplo de cuatro tienen algunos nucleos deshabilitados Cuatro ALUs dos unidades AGU LSU y dos unidades de coma flotante por nucleo 23 Recientemente introducido el gran micro operation cache 24 Cada nucleo SMT puede enviar hasta seis microoperaciones por ciclo una combinacion de 6 micro operaciones enteras y 4 micro operaciones de punto flotante por ciclo 25 26 Ancho de banda L1 y L2 casi 2 veces mas rapido con un ancho de banda total de cache L3 de hasta 5 veces 27 Clock gating reduccion de la energia disipada desactivando senal de reloj cuando no esta en uso 28 Predictor de saltos mejorado usando un sistema perceptron hash con una matriz indirecta de objetivos similar a la microarquitectura Bobcat 29 algo que ha sido comparado con una red neuronal por el ingeniero de AMD Mike Clark 30 31 Motor de pila dedicado para modificar el puntero de pilas similar al de los procesadores Intel Haswell y Broadwell 32 Compatibilidad binaria con la microarquitectura Skylake de Intel Soporte para RDSEED un conjunto de instrucciones de hardware de alto rendimiento generadoras de numeros aleatorios introducidas en Broadwell 33 Soporte para las instrucciones SMAP SMEP XSAVEC XSAVES XRSTORS y CLFLUSHOPT 33 Soporte para ADX y SHA 34 Instruccion CLZERO para borrar linea de cache 33 Coalescencia de las entradas de las tablas de paginacion PTE que combina tablas de paginacion de 4 kiB en una pagina de tamano 32 kiB Pure Power sensores de monitoreo de potencia mas precisos 31 35 Neural Net Prediction y Smart Prefetch 31 Precision Boost 31 eXtended Frequency Range XFR una funcion de overclocking automatico que aumenta la velocidad del reloj mas alla de la frecuencia turbo especificada 31 36 Esta es la primera vez en mucho tiempo que los ingenieros tenemos la libertad total de construir un procesador desde cero y hacer lo mejor que podamos Es un proyecto de varios anos con un equipo realmente grande Es como una maraton con algunas carreras cortas en el medio El equipo esta trabajando muy duro pero pueden ver la linea de meta Garantizo que ofrecera una gran mejora en el rendimiento y el consumo de energia con respecto a la generacion anterior Suzanne Plummer Lider del equipo Zen 19 de septiembre de 2015 37 Fotografia de un chip Ryzen 3 1200 La arquitectura Zen se basa en un proceso de 14 nanometros FinFET subcontratado a GlobalFoundries 38 que a su vez posee una licencia de su proceso de 14 nm de Samsung Electronics 39 Esto proporciona una mayor eficiencia que los procesos de 32 nm y 28 nm que los anteriores procesadores AMD FX y APUs de AMD respectivamente 40 La familia de procesadores Zen Summit Ridge usan el zocalo AM4 y cuentan con soporte para memoria DDR4 y una potencia de diseno termico TDP de 95 W 40 Si bien las hojas de ruta mas recientes no confirmaban el TDP para productos de escritorio sugerian una gama de productos moviles de baja potencia de hasta dos nucleos Zen de 5 a 15 W y para productos moviles orientados al rendimiento con hasta cuatro nucleos Zen de 15 a 35 W de potencia 41 Cada nucleo Zen puede decodificar cuatro instrucciones por ciclo de reloj e incluye un cache micro op que alimenta a dos programadores un programador para cada uno de los segmentos enteros y de coma flotante 28 42 Cada nucleo tiene dos unidades de generacion de direcciones cuatro unidades enteras y cuatro unidades de coma flotante Dos de las unidades de coma flotante son sumadores y dos son sumadores multiples Sin embargo usando operaciones de multiplicacion con suma puede evitar la operacion de adicion simultanea en una de las unidades sumadoras 43 Tambien hay mejoras en el predictor de ramas El tamano del cache L1 es de 64 KiB para instrucciones por nucleo y 32 KiB para datos por nucleo El tamano del cache L2 es de 512 KiB por nucleo y el cache L3 es de 1 a 2 MB por nucleo Los cache L3 ofrecen 5 veces el ancho de banda de los disenos anteriores de AMD 27 Historia y desarrollo EditarAMD comenzo a planificar la microarquitectura Zen poco despues de volver a contratar a Jim Keller en agosto de 2012 44 AMD revelo formalmente Zen en el ano 2015 El equipo a cargo del Zen fue dirigido por Keller quien se fue de AMD en septiembre de 2015 despues de un periodo de 3 anos y la lider del equipo Zen Suzanne Plummer 45 46 El jefe de microarquitectura de Zen fue uno de los socios principales de AMD Michael Clark 47 48 49 Zen se planeo originalmente para 2017 siguiendo sul nucleo hermano K12 basado en ARM64 pero en el Financial Analyst Day de 2015 de AMD se revelo que la microarquitectura K12 fue retrasada en favor de comenzar el diseno de Zen para permitirle ingresar al mercado dentro del plazo del ano 2016 7 con el lanzamiento de los primeros procesadores basados en Zen que se esperaban para octubre de 2016 50 En noviembre de 2015 una fuente dentro de AMD informo que los microprocesadores Zen habian sido probados y cumplieron con todas las expectativas sin cuellos de botella significativos encontrados 1 51 En diciembre de 2015 se rumoreaba que Samsung podria haber sido contratado como fabricante de los procesadores FinFET de 14 nm de AMD incluyendo tanto Zen como la proxima microarquitectura de procesadores graficos de AMD llamada Polaris 52 Esto fue aclarado por el anuncio de julio de 2016 de AMD de que los productos se habian producido con exito en el proceso FinFET de 14 nm de Samsung 53 AMD declaro que Samsung se usaria si fuera necesario argumentando que esto reduciria el riesgo de AMD al disminuir la dependencia a cualquier empresa fabricante de semiconductores En diciembre de 2019 AMD comenzo a lanzar productos Ryzen de primera generacion creados con la arquitectura Zen de segunda generacion 54 Ventajas sobre sus predecesores EditarProceso de fabricacion Editar Los procesadores basados en Zen utilizan silicio FinFET de 14 nm 55 Segun los informes estos procesadores se producen en GlobalFoundries 56 Antes de Zen el tamano de proceso mas pequeno de AMD era 28 nm tal como el utilizado en sus microarquitecturas Steamroller y Excavator 57 58 Los principales competidores las microarquitecturas Skylake y Kaby Lake de Intel tambien se fabrican con FinFET de 14 nm 59 aunque Intel planeo comenzar el lanzamiento de productos en 10 nm despues en el ano 2017 60 En comparacion con el FinFET de 14 nm de Intel AMD afirmo en febrero de 2017 que los nucleos Zen serian un 10 mas pequenos 61 Intel anuncio mas tarde en julio de 2018 que no se deben esperar procesadores convencionales de 10 nm antes de la segunda mitad de 2019 62 Para disenos identicos estas reducciones en el tamano del nodo de los chips utilizarian menos corriente y energia a la misma frecuencia o voltaje Como los procesadores suelen tener una potencia limitada normalmente hasta 125 W o 45 W para dispositivos moviles transistores mas pequenos permiten una potencia mas baja a la misma frecuencia o una frecuencia mas alta a la misma potencia 63 Rendimiento Editar Uno de los principales objetivos de Zen en el ano 2016 era centrarse en el rendimiento por nucleo y apuntaban a una mejora del 40 en las instrucciones por ciclo IPC sobre su predecesor 64 La microarquitectura Excavator en comparacion en comparacion ofrecio una mejora del 4 15 sobre arquitecturas anteriores 65 66 AMD anuncio que la microarquitectura Zen final logro una mejora del 52 en IPC por sobre Excavator 67 La inclusion del SMT tambien permitio que cada nucleo procese hasta dos subprocesos lo que aumenta el rendimiento del procesamiento mediante un mejor uso de los recursos disponibles Los procesadores Zen tambien emplean sensores en todo el chip para escalar dinamicamente la frecuencia y el voltaje 68 Esto permite que el procesador mismo defina la frecuencia maxima de forma dinamica y automatica segun el sistema de enfriamiento que dispone AMD ha demostrado que un procesador Zen de 8 nucleos 16 hilos supera a un procesador Intel Broadwell E con el mismo reloj en renderizado Blender 2 8 y en benchmarks en HandBrake 68 Zen soporta AVX2 pero requiere dos ciclos de reloj para completar cada instruccion AVX2 en comparacion con Intel que solo necesitan 1 ciclo sus procesadores 69 70 esta diferencia fue corregida en la microarquitectura Zen 2 71 Memoria Editar Zen soporta memoria DDR4 hasta ocho canales 72 y ECC 73 Los informes previos al lanzamiento indicaron que las APU que usan la arquitectura Zen tambien soportarian memoria de alto ancho de banda HBM 74 Sin embargo la primera APU demostrada no uso HBM 75 Las APU anteriores de AMD dependian de la memoria compartida tanto para la GPU como para la CPU Consumo de energia y generacion de calor Editar Los procesadores disenados en nodos de silicio FinFET de 14 nm deberian mostrar un consumo de energia menor y por lo tanto una menor generacion de calor que sus predecesores FinFET de 28 nm y 32 nm para disenos equivalentes o sea son mas computacionalmente potentes con una generacion de calor consumo de energia equivalente Zen tambien usa clock gating 28 reduciendo la frecuencia de porciones subutilizadas del nucleo para ahorrar energia Esto viene de la tecnologia SenseMI de AMD usando sensores a traves del chip para escalar dinamicamente la frecuencia y el voltaje 68 Seguridad mejorada y soporte de virtualizacion Editar Zen agrego soporte para Secure Memory Encryption SME y Secure Encrypted Virtualization SEV de AMD Secure Memory Encryption es encriptacion de memoria en tiempo real hecho por entrada de tabla de pagina El cifrado se produce en un motor AES de hardware y el procesador de seguridad integrado ARM Cortex A5 administra las claves en el momento del arranque para cifrar cada pagina permitiendo encriptar cualquier memoria DDR4 incluidas las variedades no volatiles AMD SME tambien hace que el contenido de la memoria sea mas resistente a la indagacion de memoria y los ataques de arranque en frio 76 77 SME puede usarse para marcar paginas individuales de memoria como encriptadas a traves de las tablas de paginas Una pagina de memoria marcada como cifrada se descifrara automaticamente cuando se lea desde DRAM y se cifrara automaticamente cuando se escriba en DRAM La funcion SME se identifica a traves de una funcion CPUID y se habilita a traves de SYSCFG MSR Una vez habilitado las entradas de la tabla de paginas determinaran como se accede a la memoria Si una entrada de la tabla de paginas tiene configurada la mascara de cifrado de memoria entonces se accedera a esa memoria como memoria cifrada La mascara de cifrado de memoria asi como otra informacion relacionada se determina a partir de las configuraciones devueltas a traves de la misma funcion CPUID que identifica la presencia de la caracteristica 78 La funcion Secure Encrypted Virtualization SEV permite que el contenido de la memoria de una maquina virtual VM se encripte de forma transparente con una clave unica para la VM invitada El controlador de memoria contiene un motor de cifrado de alto rendimiento que se puede programar con varias claves para que lo utilicen diferentes maquinas virtuales en el sistema La programacion y administracion de estas claves es manejada por el firmware AMD Secure Processor que entrega una API para estas tareas 79 Conectividad Editar Incorporando gran parte del puente sur al SoC el procesador Zen incluye las conexiones SATA USB y NVMe PCI Express 80 81 Estas conexiones se pueden aumentar con los chipsets para el zocalo AM4 disponibles que agregan opciones de conectividad incluyendo conexiones SATA y USB adicionales y soporte para el Crossfire de AMD y SLI de Nvidia 82 AMD al anunciar su linea Radeon Instinct argumentaba que los procesadores de servidor Naples basados en Zen serian particularmente adecuados para construir sistemas de aprendizaje profundo deep learning 83 84 Las 128 85 lineas PCIe de cada procesador Naples permiten que 8 tarjetas Radeon Instinct puedan ser conectadas en 8 puertos PCIe de x16 con un solo procesador Productos EditarLa microarquitectura Zen se utiliza en los procesadores de escritorio Ryzen 1000 Tambien se encuentra en los procesadores de servidor Epyc sucesor de los procesadores Opteron y APU 74 86 87 Los primeros procesadores de escritorio sin unidades de procesamiento de graficos con nombre en clave Summit Ridge inicialmente se esperaba que comenzaran a venderse a finales de 2016 segun una hoja de ruta de AMD con los primeros procesadores moviles y de escritorio del tipo APU de AMD con nombre en codigo Raven Ridge a finales de 2017 88 AMD retraso oficialmente Zen hasta el primer trimestre de 2017 En agosto de 2016 una demostracion temprana de la arquitectura mostro una CPU de muestra de ingenieria de 8 nucleos 16 hilos a 3 0 GHz 8 En diciembre de 2016 AMD anuncio oficialmente la linea de CPU de escritorio bajo la marca Ryzen para su lanzamiento en el primer trimestre de 2017 Tambien confirmo que los procesadores de servidor se lanzarian en el segundo trimestre de 2017 y las APU moviles en el segundo semestre de 2017 89 El 2 de marzo de 2017 AMD lanzo oficialmente los primeros procesadores de escritorio Ryzen de ocho nucleos basados en la arquitectura Zen Las velocidades finales de reloj y TDP para los 3 procesadores lanzados en el primer trimestre de 2017 demostraron beneficios significativos de rendimiento por vatio sobre la arquitectura anterior microarquitectura K15h Piledriver 90 91 Los procesadores de escritorio de ocho nucleos Ryzen demostraron un rendimiento por vatio comparable a los procesadores de ocho nucleos Broadwell de Intel 92 93 En marzo de 2017 AMD tambien mostro una muestra de ingenieria de una CPU de servidor basada en la arquitectura Zen El procesador con el nombre en codigo Naples se configuro como una plataforma de servidor de doble zocalo con cada procesador de 32 nucleos 64 hilos 2 8 Procesadores de escritorio Editar Articulo principal Ryzen Primera generacion de procesadores Ryzen serie Ryzen 1000 Modelo Fecha de lanzamiento y precio Proceso de fabricacion Nucleos hilos Frecuencia de reloj GHz Cache Nota1 1 Zocalo Socket Lineas PCIe Nota1 2 Soporte de memoria TDPBase Precision boost1 2 3 XFR 96 1 2 L1 L2 L3Segmento de entradaRyzen 3 1200 Nota1 3 99 100 101 27 de julio de 2017US 109 GloFo14LP 4 4 3 1 3 4 3 1 3 45 64 KB inst 32 KB de datospor nucleo 102 103 104 105 106 107 108 512 KB por nucleo 109 110 111 8 MB AM4 24 112 DDR4 2666doble canal 65 WRyzen 3 1300X Nota1 3 99 100 27 de julio de 2017US 129 3 5 3 7 3 5 3 9Segmento generalRyzen 5 1400 11 de abril de 2017EUA 169 GloFo14LP 4 8 3 2 3 4 3 4 3 45 64 KB inst 32 KB de datospor nucleo 102 103 104 105 106 107 108 113 114 115 512 KB por nucleo 109 110 111 8 MB AM4 24 112 DDR4 2666doble canal 65 WRyzen 5 1500X Nota1 3 11 de abril de 2017EUA 189 3 5 3 7 3 6 3 9 16 MBRyzen 5 1600 Nota1 3 11 de abril de 2017EUA 219 6 12 3 2 3 6 3 4 3 7Ryzen 5 1600X 11 de abril de 2017EUA 249 3 6 4 0 3 7 4 1 95 WSegmento rendimientoRyzen 7 1700 Nota1 3 2 de marzo de 2017EUA 329 GloFo14LP 8 16 3 0 3 7 3 2 3 75 64 KB inst 32 KB de datospor nucleo 116 117 117 118 512 KB por nucleo 109 110 111 16 MB AM4 24 112 DDR4 2666doble canal 65 WRyzen 7 1700X Nota1 3 2 de marzo de 2017US 399 sin disipador de calor de fabrica WOF 3 4 3 8 119 3 5 3 9 95 WRyzen 7 1800X 2 de marzo de 2017EUA 499 WOF 3 6 4 0 3 7 4 1Segmento escritorio de alto rendimiento HEDT Ryzen Threadripper 1900X 109 120 121 31 de agosto de 2017EUA 549 GloFo14LP 8 16 3 8 4 0 3 9 122 123 4 2 64 KB inst 32 KB de datospor nucleo 111 110 512 KB por nucleo 110 111 16 MB TR4 124 64 125 DDR4 2666Cuadruple canal 124 126 180 WRyzen Threadripper 1920X 127 109 10 de agosto de 2017EUA 799 12 24 3 5 4 0 128 3 7 129 4 2 32 MB 110 111 Ryzen Threadripper 1950X 127 109 10 de agosto de 2017EUA 999 16 32 3 4 4 0 3 7 4 2 AMD en su documentacion tecnica usa KB lo cual define como Kilobyte y equivale a 1024 bytes y MB lo cual define como Megabyte y equivale a 1024 KB 94 Conteo de lineas PCIe incluye 4 lineas usadas para conectividad con el chipset 95 a b c d e f Modelo tambien disponible como variante Pro para OEMs el cual podria ofrecer otras funciones no mencionadas en esta tabla Los modelos Pro fueron lanzados por AMD el 29 de junio de 2017 97 98 APUs de escritorio Editar Las APU Ryzen se identifican por el sufijo G o GE en su nombre Modelo Fecha de lanzamiento yprecio Proceso de fabricacion CPU GPU Soporte de memoria TDPNucleos hilos Frecuencia de reloj GHz Cache Nota2 1 Modelo Config Nota2 2 Reloj Poder de procesamiento GFLOPS Nota2 3 Base Boost L1 L2 L3Athlon 200GE 131 132 6 de septiembre de 2018EUA 55 GloFo14LP 2 4 3 2 N D 64 KB inst 32 KB de datospor nucleo 512 KB por nucleo 4 MB Vega 3 192 12 43 CU 1000 MHz 384 DDR4 2666doble canal 35 WAthlon Pro 200GE 133 132 6 de septiembre de 2018OEMAthlon 220GE 134 21 de diciembre de 2018EUA 65 3 4Athlon 240GE 134 21 de diciembre de 2018EUA 75 3 5Athlon 3000G 135 19 de noviembre de 2019US 49 1100 MHz 424 4Athlon 300GE 136 7 de julio de 2019 OEM 3 4Athlon Silver 3050GE 137 21 de julio de 2020 OEMRyzen 3 2200GE 138 139 19 de abril de 2018OEM 4 4 3 2 3 6 Vega 8 512 32 168 CU 1126 DDR4 2933doble canalRyzen 3 Pro 2200GE 140 10 de mayo de 2018OEMRyzen 3 2200G 141 12 de febrero de 2018 142 EUA 99 3 5 3 7 45 65 WRyzen 3 Pro 2200G 143 10 de mayo de 2018OEMRyzen 5 2400GE 144 139 19 de abril de 2018OEM 4 8 3 2 3 8 RX Vega 11 704 44 1611 CU 145 1250 MHz 1760 35 WRyzen 5 Pro 2400GE 146 10 de mayo de 2018OEMRyzen 5 2400G 147 February 12 2018 142 148 US 169 3 6 3 9 45 65 WRyzen 5 Pro 2400G 149 10 de mayo de 2018OEM AMD en su documentacion tecnica usa KB lo cual define como Kilobyte y equivale a 1024 bytes y MB lo cual define como Megabyte y equivale a 1024 KB 130 Sombreadores unificados Unidades de mapeo de texturas unidades de salida de render y unidades de computo CU El rendimiento de precision simple se calcula a partir de la velocidad del reloj base o turbo boost basada en una operacion FMA operacion de suma multiplicacion de punto flotante APUs moviles Editar Modelo Fecha delanzamiento CPU GPU Soporte de memoria TDP Numero de parteNucleos hilos Frecuencia de reloj GHz Cache Nota3 1 Modelo Config Nota3 2 Reloj Poder de procesamiento GFLOPS Nota3 3 Base Boost L1 L2 L3Athlon Pro 200U 151 2019 2 4 2 3 3 2 64 KB inst 32 KB de datospor nucleo 512 KB por nucleo 4 MB Vega 3 192 12 43 CU 152 1000 MHz 384 DDR4 2400doble canal 12 25 W YM200UC4T2OFBAthlon 300U 153 6 de enero de 2019 2 4 3 3 YM300UC4T2OFGRyzen 3 2200U 154 8 de enero de 2018 2 5 3 4 1100 MHz 422 4 YM2200C4T2OFBRyzen 3 3200U 155 6 de enero de 2019 2 6 3 5 1200 MHz 460 8 YM3200C4T2OFGRyzen 3 2300U 156 8 de enero de 2018 4 4 2 0 3 4 Vega 6 384 24 86 CU 157 1100 MHz 844 8 YM2300C4T4MFBRyzen 3 Pro 2300U 158 15 de mayo de 2018 159 YM230BC4T4MFBRyzen 5 2500U 160 26 de octubre de 2017 160 4 8 3 6 Vega 8 512 32 168 CU 161 1126 4 YM2500C4T4MFBRyzen 5 Pro 2500U 162 15 de mayo de 2018 159 YM250BC4T4MFBRyzen 5 2600H 163 10 de septiembre de 2018 164 3 2 DDR4 3200doble canal 35 54 W YM2600C3T4MFBRyzen 7 2700U 165 26 de octubre de 2017 165 2 2 3 8 Vega 10 640 40 1610 CU 166 1300 MHz 1664 DDR4 2400doble canal 12 25 W YM2700C4T4MFBRyzen 7 Pro 2700U 167 15 de mayo de 2018 159 YM270BC4T4MFBRyzen 7 2800H 163 10 de septiembre de 2018 164 3 3 Vega 11 704 44 1611 CU 1830 4 DDR4 3200doble canal 35 54 W YM2800C3T4MFB AMD en su documentacion tecnica usa KB lo cual define como Kilobyte y equivale a 1024 bytes y MB lo cual define como Megabyte y equivale a 1024 KB 150 Sombreadores unificados Unidades de mapeo de texturas unidades de salida de render y unidades de computo CU El rendimiento de precision simple se calcula a partir de la velocidad del reloj base o turbo boost basada en una operacion FMA operacion de suma multiplicacion de punto flotante Procesadores embebidos Editar En febrero de 2018 AMD anuncio las APUs Zen Vega embebidos de la serie V1000 con cuatro SKU 168 Modelo Fecha de lanzamiento ProcesodeFabricacion CPU GPU Soporte de memoria Ethernet TDP Temperatura de union C Nucleos hilos Frecuencia de reloj GHz Cache Nota4 1 Modelo Config Nota4 2 Reloj Poder de procesamiento GFLOPS Nota4 3 Base Boost L1 L2 L3V1500B 170 Diciembre de 2018 GloFo14LP 4 8 2 2 N D 64 KB inst 32 KB de datospor nucleo 512 KB por nucleo 4 MB N D DDR4 2400 doble canal 2 10GbE 12 25 W 0 105V1780B 170 3 35 3 6 DDR4 3200 doble canal 35 54 WV1202B 170 Febrero de 2018 2 4 2 3 3 2 RX Vega 3 192 12 163 CU 1000 MHz 384 DDR4 2400 doble canal 12 25 WV1404I 170 Diciembre de 2018 4 8 2 0 3 6 RX Vega 8 512 32 168 CU 1100 MHz 1126 4 40 105V1605B 170 Febrero de 2018 0 105V1756B 170 3 25 1300 MHz 1331 2 DDR4 3200 doble canal 35 54 WV1807B 170 3 35 3 8 RX Vega 11 704 44 1611 CU 1830 4 AMD define 1 kilobyte KB como 1024 bytes y 1 megabyte MB como 1024 kilobytes 169 Sombreadores unificados Unidades de mapeo de texturas unidades de salida de render y unidades de computo CU El rendimiento de precision simple se calcula a partir de la velocidad del reloj base o turbo boost basada en una operacion FMA operacion de suma multiplicacion de punto flotante Procesadores para servidores Editar Articulo principal Epyc Epyc AMD anuncio en marzo de 2017 que lanzaria una plataforma de servidor basada en Zen cuyo nombre en codigo era Naples en el segundo trimestre del ano La plataforma incluye sistemas de 1 y 2 zocalos Los procesadores en configuraciones de multi CPU se comunican a traves de Infinity Fabric de AMD 171 Cada chip admite ocho canales de memoria y 128 lineas PCIe 3 0 de las cuales 64 lineas se utilizan para la comunicacion entre procesadores a traves de Infinity Fabric cuando se instalan en una configuracion de doble procesador 172 AMD revelo oficialmente Naples bajo la marca Epyc en mayo de 2017 173 El 20 de junio de 2017 AMD lanzo oficialmente los procesadores de la serie Epyc 7000 en un evento de lanzamiento en Austin Texas 174 Modelo Proceso de fabricacion Configuracion del Zocalo Socket Nucleos FPUs hilos Frecuencia de reloj GHz Cache Nota5 1 LineasPCIe Soporte de memoria TDP Fecha delanzamiento Precio delanzamiento USD Base Boost L1 KB L2 KB L3 MB Todos los nucleos Max EPYC 7351P 176 177 178 14nm 1P amp amp amp amp amp amp amp amp amp amp amp amp amp 016 amp amp amp amp amp 0 16 32 2 4 2 9 64 KB inst 32 KB de datospor nucleo 512 KBpor nucleo 64 128 DDR4 26668 canales 155 170 W Junio de 2017 179 0 750 EPYC 7401P 176 177 178 amp amp amp amp amp amp amp amp amp amp amp amp amp 024 amp amp amp amp amp 0 24 48 2 0 2 8 3 0 1075 EPYC 7551P 176 177 178 amp amp amp amp amp amp amp amp amp amp amp amp amp 032 amp amp amp amp amp 0 32 64 2 55 180 W 2100 EPYC 7251 176 177 178 2P amp amp amp amp amp amp amp amp amp amp amp amp amp amp 08 amp amp amp amp amp 0 8 16 2 1 2 9 32 DDR4 24008 canales 120 W 0 475 EPYC 7261 180 2 5 64 DDR4 26668 canales 155 170 W Mediados de 2018 0 700 EPYC 7281 176 177 178 amp amp amp amp amp amp amp amp amp amp amp amp amp 016 amp amp amp amp amp 0 16 32 2 1 2 7 32 Junio de 2017 179 0 650 EPYC 7301 176 177 178 2 2 64 0 800 EPYC 7351 176 177 178 2 4 2 9 1100 EPYC 7371 181 3 1 3 6 3 8 180 W Finales de 2018 1550 EPYC 7401 176 177 178 amp amp amp amp amp amp amp amp amp amp amp amp amp 024 amp amp amp amp amp 0 24 48 2 0 2 8 3 0 155 170 W Junio de 2017 179 1850 EPYC 7451 176 177 178 2 3 2 9 3 2 180 W 2400 EPYC 7501 176 177 178 amp amp amp amp amp amp amp amp amp amp amp amp amp 032 amp amp amp amp amp 0 32 64 2 0 2 6 3 0 155 170 W 3400 EPYC 7551 176 177 178 2 55 180 W 3400 EPYC 7601 176 177 178 2 2 2 7 3 2 4200 AMD en su documentacion tecnica usa KB que define como Kilobyte y es igual a 1024 bytes 1 KiB y MB lo define como Megabyte y es igual a 1024 KB 1 MiB 175 Procesadores para servidores embebidos Editar En febrero de 2018 AMD tambien anuncio los procesadores Zen embebidos de la serie EPYC 3000 182 Modelo Fecha de lanzamiento ProcesodeFabricacion Zocalo Socket Nucleos hilos Frecuencia de reloj GHz Cache Nota6 1 Soporte de memoria Ethernet TDP Temperatura de union C Base Boost L1 L2 L3Todos los nucleos Max EPYC 3101 Febrero de 2018 14nm SP4r2 4 4 2 1 2 9 2 9 64 KB inst 32 KB de datospor nucleo 512 KBpor nucleo 8 MB DDR4 2666doble canal 4 10GbE 35 W 0 95EPYC 3151 4 8 2 7 2 9 2 9 16 MB 45 WEPYC 3201 8 8 1 5 3 1 3 1 16 MB DDR4 2133doble canal 30 WEPYC 3251 8 16 2 5 3 1 3 1 DDR4 2666doble canal 55 W 0 105EPYC 3255 25 55 W 40 105EPYC 3301 Febrero de 2018 12 12 2 0 2 15 3 0 32 MB DDR4 2666cuadruple canal 8 10GbE 65 W 0 95EPYC 3351 SP4 12 24 1 9 2 75 3 0 60 80 W 0 105EPYC 3401 SP4r2 16 16 1 85 2 25 3 0 32 MB 85 WEPYC 3451 SP4 16 32 2 15 2 45 3 0 80 100 W AMD define 1 kilobyte KB como 1024 bytes y 1 megabyte MB como 1024 kilobytes 175 Referencias Editar a b c GlobalFoundries announces 14nm validation with AMD Zen silicon ExtremeTech a b c d e f Anthony Sebastian 18 de agosto de 2016 AMD says Zen CPU will outperform Intel Broadwell E delays release to 2017 Ars Technica Consultado el 18 de agosto de 2016 Details of AMD Zen 16 core x86 APU emerge AMD Zen based 8 core Desktop CPU Arrives in 2016 on Socket FM3 TechPowerUp Kampman Jeff 16 de mayo de 2017 Ryzen Threadripper CPUs will offer 16 cores and 32 threads Tech Report Consultado el 16 de mayo de 2017 Kennedy Patrick 16 de mayo de 2017 AMD EPYC New Details on the Emerging Server Platform Serve the Home Consultado el 16 de mayo de 2017 a b Ryan Smith AMD s 2016 2017 x86 Roadmap Zen Is In Skybridge Is Out AnandTech a b c d Kampman Jeff 18 de agosto de 2016 AMD gives us our first real moment of Zen Tech Report Consultado el 18 de agosto de 2016 Cutress Ian AMD s Future in Servers New 7000 Series CPUs Launched and Epyc Analysis AnandTech Consultado el 8 de agosto de 2017 HP ENVY x360 Convertible Laptop 15z touch HP Official Store store hp com Brad Chacos 8 de enero de 2016 AMD Zen based CPUs and APUs will unify around Socket AM4 PCWorld Ryzen Threadripper Processors AMD www amd com en ingles Consultado el 29 de septiembre de 2017 How AMD s powerful Zen chip flouts the SoC stereotype PCWorld en ingles Consultado el 8 de marzo de 2017 Cutress Ian 18 de agosto de 2016 Early AMD Zen Server CPU and Motherboard Details Anandtech Consultado el 22 de marzo de 2017 AMD Shipped 260 Million Zen Cores by 2020 AnandTech Weekend tech reading AMD Zen and their return to high end CPUs tracking Windows pirates TechSpot techspot com Consultado el 12 de mayo de 2015 AMD Zen chips headed to desktops servers in 2016 The Tech Report Page 1 techreport com Consultado el 12 de mayo de 2015 Anton Shilov 11 de septiembre de 2014 AMD Bulldozer was not a game changer but next gen Zen will be KitGuru Consultado el 1 de febrero de 2015 Software Optimization Guide for AMD Family 17h Processors AMD June 2017 Cutress Ian 18 de agosto de 2016 AMD Zen Microarchitecture Dual Schedulers Micro Op Cache and Memory Hierarchy Revealed http www anandtech com en ingles Consultado el 15 de mayo de 2020 AMD Zen Confirmed for 2016 Features 40 IPC Improvement Over Excavator Archivado desde el original el 4 de marzo de 2016 Consultado el 18 de mayo de 2020 Ian Cutress 2 de marzo de 2017 The Core Complex Caches and Fabric Consultado el 21 de junio de 2017 Clark Mike A New x86 Core Architecture for the Next Generation of Computing AMD p 7 Archivado desde el original el 26 de noviembre de 2016 Cutress Ian AMD Zen Microarchitecture Dual Schedulers Micro Op Cache and Memory Hierarchy Revealed Mujtaba Hassan AMD Opens The Lid on Zen Architectural Details at Hot Chips Huge Performance Leap Over Excavator Massive Throughput on 14nm FinFET Design WCCFtech Consultado el 23 de agosto de 2016 Walrath Josh AMD Zen Architecture Overview Focus on Ryzen PC Perspective PC Perspective en ingles Archivado desde el original el 12 de octubre de 2017 Consultado el 13 de marzo de 2017 a b ANTHONY amp WALTON SEBASTIAN amp MARK 13 de diciembre de 2016 AMD s Zen CPU is now called Ryzen and it might actually challenge Intel en ingles Consultado el 18 de mayo de 2020 a b c Cutress Ian 18 de agosto de 2016 AMD Zen Microarchitecture Anandtech Consultado el 18 de agosto de 2016 Jimenez Daniel Strided Sampling Hashed Perceptron Predictor Texas A amp M University Williams Chris Neural network spotted deep inside Samsung s Galaxy S7 silicon brain The Register a b c d e Cutress Ian 2 de marzo de 2017 The AMD Zen and Ryzen 7 Review A Deep Dive on 1800X 1700X and 1700 en ingles Consultado el 16 de mayo de 2016 Fog Agner The microarchitecture of Intel AMD and VIA CPUs Technical University of Denmark a b c AMD Starts Linux Enablement On Next Gen Zen Architecture Phoronix 17 de marzo de 2015 Consultado el 17 de marzo de 2015 AMD Starts Linux Enablement On Next Gen Zen Architecture Phoronix Phoronix AMD Takes Computing to a New Horizon with Ryzen Processors www amd com Chen Sam 24 de junio de 2017 XFR Custom PC Review Consultado el 26 de julio de 2017 Kirk Ladendorf For the American Statesman Amid challenges chipmaker AMD sees a way forward Lilly Paul 23 de julio de 2016 AMD Shipping Zen In Limited Quantity Q4 Volume Rollout Ramps Q1 2017 hothardware com Zen is being built on an advanced GlobalFoundries sourced 14nm FinFET process Schor David 22 de julio de 2018 VLSI 2018 GlobalFoundries 12nm Leading Performance 12LP WikiChip Fuse en ingles estadounidense Consultado el 31 de mayo de 2019 a b 14nm AMD Zen CPU Will Have DDR4 and Simultaneous Multithreading Softpedia 28 de enero de 2015 Consultado el 31 de enero de 2015 AMD s next gen CPU Zen Shattered Media May 2015 Archivado desde el original el 17 de noviembre de 2015 Consultado el 18 de mayo de 2020 AMD s Zen core family 17h to have ten pipelines per core AMD Software Optimization Guider for AMD Family 17h Processors Jim Keller On AMD s Next Gen High Performance x86 Zen Core amp K12 ARM Core YouTube 7 de mayo de 2014 Jim Keller Leaves AMD Anand tech Consultado el 14 de octubre de 2015 Ladendorf Kirk Amid challenges chipmaker AMD sees a way forward Austin American Statesman en ingles Consultado el 4 de enero de 2020 Merritt Rick 24 de agosto de 2016 AMD Reveals Zen of X86 EE Times Consultado el 3 de marzo de 2017 TAKAHASHI Dean 24 de agosto de 2016 How AMD designed what could be its most competitive processors in a decade VentureBeat Consultado el 3 de marzo de 2017 Wong Adrian 18 de abril de 2017 Joe Macri The Disruptive Nature of AMD Ryzen TechArp Consultado el 20 de abril de 2017 AMD set to release first Zen based microprocessors in late 2016 document KitGuru net 12 de junio de 2015 Consultado el 30 de agosto de 2015 OC3D Article AMD Tests Zen CPUs Met All Expectation with no Significant Bottlenecks found AMD Tests Zen CPUs Met All Expectation with no Significant Bottlenecks found Samsung to fab AMD Zen amp Arctic islands on its 14 nm Finfet node Tech power up Moorhead Patrick 25 de julio de 2016 AMD Officially Diversifies 14nm Manufacturing With Samsung Forbes Consultado el 26 de julio de 2016 First Gen AMD Ryzen CPUs are Appearing with 12nm Zen Architecture 22 de diciembre de 2019 AMD s next gen CPU leak 14nm simultaneous multithreading and DDR4 support ExtremeTech Rulison Larry 22 de agosto de 2016 Reports Chip made by GlobalFoundries beats Intel Times Union Consultado el 22 de agosto de 2016 AMD We have taped out our first FinFET products KitGuru CES AMD finally unveils 28nm APU Kaveri to battle Intel Haswell The Inquirer Intel Kaby Lake to compete against AMD Zen at end of 2016 2 de marzo de 2016 Consultado el 7 de marzo de 2016 Intel s Kaby Lake series processors which are scheduled to launch in the third quarter but will not begin volume production until the end of 2016 while AMD is set to release its Zen architecture based processors at the end of the fourth quarter Edward Jones 21 de octubre de 2016 AMD Zen A serious challenge to Intel Channel Pro Manion Wayne 8 de febrero de 2017 AMD touts Zen die size advantage at ISSCC Tech Report Consultado el 10 de febrero de 2017 https arstechnica com gadgets 2018 07 intel says not to expect mainstream 10nm chips until 2h19 Intel s Tick Tock Seemingly Dead Becomes Process Architecture Optimization Anandtech Consultado el 23 de marzo de 2016 Smith Ryan 31 de mayo de 2016 AMD Briefly Shows Off Zen Summit Ridge Silicon Consultado el 7 de junio de 2016 AMD Announces Zen 40 IPC Improvement Over Excavator Coming In 2016 7 de mayo de 2015 Ian Cutress 2 de junio de 2015 IPC Increases Double L1 Data Cache Better Branch Prediction AMD Launches Carrizo The Laptop Leap of Efficiency and Architecture Updates Anandtech Cutress Ian 22 de febrero de 2017 AMD Launches Zen Anandtech com Archivado desde el original el 27 de febrero de 2017 Consultado el 22 de febrero de 2017 a b c Kampman Jeff 13 de diciembre de 2016 AMD crests Summit Ridge with Ryzen CPUs TechReport Consultado el 13 de diciembre de 2016 Cutress Ian AMD Zen Microarchiture Part 2 Extracting Instruction Level Parallelism Leadbetter Richard 22 de febrero de 2017 In Theory How AMD s Ryzen will disrupt the gaming CPU market Cutress Ian 10 de junio de 2019 AMD Zen 2 Microarchitecture Analysis Ryzen 3000 and EPYC Rome www anandtech com en ingles Consultado el 11 de julio de 2020 The key highlight improvement for floating point performance is full AVX2 support AMD has increased the execution unit width from 128 bit to 256 bit allowing for single cycle AVX2 calculations rather than cracking the calculation into two instructions and two cycles AMD s Zen processors to feature up to 32 cores 8 channel DDR4 TechSpot MAC 30 de marzo de 2017 ECC Memory amp AMD s Ryzen A Deep Dive Hardware Canucks Consultado el 14 de julio de 2017 a b Zen based APU with HBM to be AMD Carrizo successor Shrout Ryan 30 de mayo de 2017 Computex 2017 AMD Demos Ryzen Mobile SoC with Vega Graphics PC Perspective Consultado el 2 de junio de 2017 RFC PATCH v1 00 18 x86 Secure Memory Encryption AMD AMD MEMORY ENCRYPTION WHITEPAPER LKML Tom Lendacky AMD explains AMD Secure Memory Encryption AMD Other Developer Guides Secure Encrypted Virtualization Key Management PDF 05 19 2016 L Alex Walrath Josh 12 de enero de 2017 Podcast 432 Kaby Lake Vega CES Review PC Perspective Consultado el 13 de enero de 2017 Mah Ung Gordon 28 de septiembre de 2016 How AMD s powerful Zen chip flouts the SoC stereotype PC World Consultado el 13 de enero de 2017 Justin Michael Sexton Allen 3 de marzo de 2017 AMD s AM4 Ryzen Chipsets Tom s Hardware Consultado el 3 de marzo de 2017 Smith Ryan 12 de diciembre de 2016 AMD Announces Radeon Instinct GPU Accelerators for Deep Learning Coming in 2017 Anandtech Consultado el 12 de diciembre de 2016 Shrout Ryan 12 de diciembre de 2016 Radeon Instinct Machine Learning GPUs include Vega Preview Performance PC Per Consultado el 12 de diciembre de 2016 Mujtaba Hassan 7 de marzo de 2017 AMD Naples High Performance Server Chips With 32 Cores 64 Threads Detailed Wccftech en ingles estadounidense Consultado el 24 de noviembre de 2018 AMD Zen FX CPUs APUs Release Details Surface Top Notch Performance In The Cards Tech Times 32 core AMD Opteron to feature quad die MCM design KitGuru Mark Mantel 7 de febrero de 2017 CPU Roadmap 2017 2018 Kunftige AMD und Intel CPUs APUs in der Ubersicht PC Games Hardware en aleman Consultado el 7 de febrero de 2017 Larabel Michael 13 de diciembre de 2016 AMD Reveals More Zen CPU Details Officially Known As Ryzen No Linux Details Yet Phoronix Consultado el 13 de diciembre de 2016 Power Consumption And Efficiency AMD FX 8350 Review Does Piledriver Fix Bulldozer s Flaws Tom s Hardware en ingles 22 de octubre de 2012 Consultado el 12 de marzo de 2017 AMD Ryzen 7 1800X Power Consumption And Temperatures Tom s Hardware en ingles 2 de marzo de 2017 Consultado el 12 de marzo de 2017 AMD Ryzen 7 1800X and AM4 Platform Review bit tech en ingles Consultado el 12 de marzo de 2017 The AMD Ryzen 7 1800X Review Now and Zen Power Consumption and Conclusions www pcper com en ingles Archivado desde el original el 3 de julio de 2017 Consultado el 18 de mayo de 2020 Processor Programming Reference PPR for AMD Family 17h Model 01h Revision B1 Processors PDF AMD Technical Documentation AMD Developer Central Advanced Micro Devices Inc 15 de abril de 2017 p 25 Consultado el 1 de noviembre de 2019 Hagedoorn Hilbert 11 de abril de 2017 AMD Ryzen 5 1500X and 1600X review The AMD Chipsets Guru3D Consultado el 4 de agosto de 2017 Anandtech Ryzen 3 review anandtech Shilov Anton 29 de junio de 2017 AMD Launches Ryzen PRO CPUs Anandtech Consultado el 29 de junio de 2017 AMD Ryzen PRO Processors AMD a b Smith Ryan 29 de junio de 2017 AMD Inadvertently Reveals Ryzen 3 1300 amp 1200 Details Anandtech Consultado el 29 de junio de 2017 a b Bright Peter 13 de julio de 2017 AMD Threadripper 16 cores and 32 threads for 999 arrives in August Ars Technica Consultado el 13 de julio de 2017 Frederiksen Eric 30 de mayo de 2017 Dell taps AMD for the Inspiron Gaming Desktop and new AIOs Tech Report Consultado el 30 de mayo de 2017 a b Ryzen 3 1200 Quad Core Performance Processor AMD www amd com en ingles Consultado el 30 de junio de 2018 a b Ryzen 3 PRO 1200 Commercial Grade Processor AMD www amd com en ingles Consultado el 30 de junio de 2018 a b Ryzen 3 1300X Processor for Gaming and Computing AMD www amd com en ingles Consultado el 30 de junio de 2018 a b Ryzen 3 PRO 1300 Desktop Processor AMD www amd com en ingles Consultado el 30 de junio de 2018 a b Ryzen 5 1400 Performance Gaming and Processing AMD www amd com en ingles Consultado el 30 de junio de 2018 a b Ryzen 5 PRO 1500 Commercial Grade Processor AMD www amd com en ingles Consultado el 30 de junio de 2018 a b Ryzen 5 1500X High Performance Processor AMD www amd com en ingles Consultado el 30 de junio de 2018 a b c d e f Alcorn Paul 30 de julio de 2017 Threadripper Lands August 10 AMD Unveils Pricing Accessory Kit New 8 Core Model Tom s Hardware Consultado el 1 de agosto de 2017 a b c d e f AMD Ryzen Threadripper 1950X a b c d e f AMD Ryzen Threadripper 1920X a b c Walton Mark 2 de marzo de 2017 AMD Ryzen 7 1800X still behind Intel but it s great for the price Ars Technica Consultado el 4 de agosto de 2017 Ryzen 5 1600 Processor for Gaming AMD www amd com en ingles Consultado el 30 de junio de 2018 Ryzen 5 PRO 1600 Desktop Processor AMD www amd com en ingles Consultado el 30 de junio de 2018 Ryzen 5 1600X Fastest 6 Core Gaming Processor AMD www amd com en ingles Consultado el 30 de junio de 2018 AMD Ryzen 7 1700 AMD www amd com en ingles Consultado el 30 de junio de 2018 a b AMD Ryzen 7 1800X Processor AMD www amd com en ingles Consultado el 30 de junio de 2018 AMD Ryzen Threadripper 1900X Processor AMD www amd com en ingles Consultado el 30 de junio de 2018 AMD Ryzen 7 PRO 1700X AMD AMD Consultado el 2 de noviembre de 2017 Cutress Ian 30 de julio de 2017 AMD Threadripper 1950X and 1920X Out August 10th Anandtech Consultado el 31 de julio de 2017 AMD announces Ryzen Threadripper 1900X on facebook official Advanced Micro Devices Inc account on facebook 31 de julio de 2017 Consultado el 31 de julio de 2017 Alcorn Paul 23 de octubre de 2017 AMD Ryzen Threadripper 1900X CPU Review Tom s Hardware Hagedoorn Hilbert 31 de agosto de 2017 AMD releases its eigth sic Core Ryzen Threadripper 1900X Guru3D a b Manion Wayne 30 de mayo de 2017 ROG Zenith Extreme spills some beans on Ryzen Threadripper Tech Report Consultado el 30 de mayo de 2017 Bright Peter 13 de julio de 2017 AMD Threadripper 16 cores and 32 threads for 999 arrives in August Ars Technica Consultado el 4 de agosto de 2017 Shrout Ryan 13 de julio de 2017 AMD Ryzen Threadripper 1950X and 1920X Announced Flagship Performance at 999 PC Perspective Consultado el 14 de julio de 2017 a b Cutress Ian 13 de julio de 2017 AMD Threadripper 1920X and 1950X CPU Details Anandtech Consultado el 13 de julio de 2017 Hallock Robert 12 de junio de 2019 AMD Robert comments on AMD Robert Hallock not single core boost Reddit Reddit Consultado el 12 de junio de 2019 Kirsch Nathan 10 de agosto de 2017 AMD Ryzen Threadripper 1950X and Threadripper 1920X Processor Review Legit Reviews Processor Programming Reference PPR for AMD Family 17h Model 01h Revision B1 Processors PDF AMD Technical Documentation AMD Developer Central Advanced Micro Devices Inc 15 de abril de 2017 p 25 Consultado el 1 de noviembre de 2019 Processor Specifications AMD Consultado el 6 de septiembre de 2018 a b AMD Announces New 55 Low Power Processor Athlon 200GE AnandTech Consultado el 6 de septiembre de 2018 Processor Specifications AMD Consultado el 6 de septiembre de 2018 a b Gunsch Michael AMD Marktstart fur Athlon 220GE und 240GE ComputerBase en aleman Consultado el 21 de diciembre de 2018 AMD Athlon 3000G Processor with Radeon Graphics AMD AMD Athlon 300GE AMD Athlon Silver 3050GE 2nd Gen AMD Ryzen 3 2200GE Desktop Processor AMD Consultado el 19 de abril de 2018 a b Shilov Anton 12 de febrero de 2018 AMD Readies Ryzen 3 2200GE amp Ryzen 5 2400GE APUs with Reduced TDP Anandtech Consultado el 12 de febrero de 2018 https www amd com en products apu amd ryzen 3 pro 2200ge AMD Ryzen 3 2200G Consultado el 19 de enero de 2018 a b AMD s 2nd gen Ryzen is coming in April desktop Ryzen APUs arrive February 12 TechSpot Consultado el 10 de junio de 2019 Specs www amd com Consultado el 10 de junio de 2019 AMD Ryzen 5 2400GE Consultado el 19 de abril de 2018 AMD Radeon RX Vega 11 Specs TechPowerUp GPU Database Techpowerup com Consultado el 10 de junio de 2019 Specs www amd com Consultado el 10 de junio de 2019 AMD Ryzen 5 2400G Consultado el 19 de enero de 2018 Peter Bright Jan 8 2018 9 50 pm UTC 8 de enero de 2018 AMD s 2018 roadmap Desktop APUs in February second generation Ryzen in April Ars Technica Consultado el 10 de junio de 2019 Specs www amd com Consultado el 10 de junio de 2019 Processor Programming Reference PPR for AMD Family 17h Model 01h Revision B1 Processors PDF AMD Technical Documentation AMD Developer Central Advanced Micro Devices Inc 15 de abril de 2017 p 25 Consultado el 1 de noviembre de 2019 AMD Athlon PRO 200U Mobile Processor with Radeon Vega 3 Graphics Consultado el 30 de abril de 2019 AMD Radeon Vega 3 Mobile Specs TechPowerUp GPU Database Techpowerup com Consultado el 10 de junio de 2019 AMD Athlon 300U Mobile Processor with Radeon Vega 3 Graphics Consultado el 8 de enero de 2019 AMD Ryzen 3 2200U Consultado el 21 de enero de 2018 AMD Ryzen 3 3200U Mobile Processor with Radeon Vega 3 Graphics Consultado el 6 de enero de 2019 AMD Ryzen 3 2300U Consultado el 21 de enero de 2018 AMD Radeon Vega 6 Mobile Specs TechPowerUp GPU Database Techpowerup com Consultado el 10 de junio de 2019 AMD Ryzen 3 PRO 2300U 21 de enero de 2018 Consultado el 8 de enero de 2018 a b c Cutress Ian 15 de mayo de 2018 AMD Launches Ryzen Pro with Vega Mobile APUs and Desktop APUs Anandtech Consultado el 28 de agosto de 2018 a b AMD Ryzen 5 2500U Consultado el 21 de enero de 2018 AMD Radeon Vega 8 Specs TechPowerUp GPU Database Techpowerup com Consultado el 10 de junio de 2019 AMD Ryzen 5 PRO 2500U 21 de enero de 2018 Consultado el 8 de enero de 2018 a b AMD Launches Ryzen 7 2800H amp Ryzen 5 2600H APUs for High Performance Laptops Anandtech com Consultado el 10 de junio de 2019 a b Specs PDF www amd com Consultado el 10 de junio de 2019 a b AMD Ryzen 7 2700U Consultado el 21 de enero de 2018 AMD Radeon RX Vega 10 Mobile Specs TechPowerUp GPU Database Techpowerup com Consultado el 10 de junio de 2019 AMD Ryzen 7 PRO 2700U 21 de enero de 2018 Consultado el 8 de enero de 2018 Alcorn Paul 21 February 2018 AMD Launches Ryzen Embedded V1000 EPYC Embedded 3000 Processors Tomshardware com Retrieved 5 April 2018 Processor Programming Reference PPR for AMD Family 17h Model 01h Revision B1 Processors Processor Programming Reference PPR for AMD Family 17h Model 01h Revision B1 Processors AMD Consultado el 14 de julio de 2017 a b c d e f g Embedded Processor Specifications AMD Kampman Jeff 7 de marzo de 2017 AMD s Naples platform prepares to take Zen into the datacenter Tech Report Consultado el 7 de marzo de 2017 Cutress Ian 7 de marzo de 2017 AMD Prepares 32 Core Naples CPUs for 1P and 2P Servers Coming in Q2 Anandtech Consultado el 7 de marzo de 2017 Kampman Jeff 16 de mayo de 2017 AMD s Naples datacenter CPUs will make an Epyc splash Tech Report Consultado el 16 de mayo de 2017 AMD launches broad Epyc server processor line with up to 32 cores per chip VentureBeat 20 de junio de 2017 Consultado el 8 de agosto de 2017 a b Processor Programming Reference PPR for AMD Family 17h Model 01h Revision B1 Processors PDF AMD Technical Documentation AMD Developer Central Advanced Micro Devices Inc 15 de abril de 2017 p 25 Consultado el 1 de noviembre de 2019 a b c d e f g h i j k l AMD EPYC 7000 Series Processors Leading Performance for the Cloud Era Advanced Micro Devices Inc August 2018 p 2 a b c d e f g h i j k l Cutress Ian 20 de junio de 2017 AMD s Future in Servers New 7000 Series CPUs Launched and EPYC Analysis Anand Tech Consultado el 21 de junio de 2017 a b c d e f g h i j k l Cutress Ian 20 de junio de 2017 AMD EPYC Launch Event Live Blog Anand Tech Consultado el 21 de junio de 2017 a b c Kennedy Patrick 16 de mayo de 2017 AMD EPYC New Details on the Emerging Server Platform Serve The Home Consultado el 16 de mayo de 2017 AMD EPYC 7261 AMD www amd com Consultado el 20 de enero de 2019 AMD PS7371BEVGPAF EPYC 7371 3 1GHz 16 Core www gamepc com Consultado el 20 de enero de 2019 Alcorn Paul 21 de febrero de 2018 AMD Launches Ryzen Embedded V1000 EPYC Embedded 3000 Processors tom s HARDWARE Consultado el 5 de abril de 2018 Datos Q19599373 Multimedia Zen microarchitectureObtenido de https es wikipedia org w index php title Zen microarquitectura amp oldid 134918655, wikipedia, wiki, leyendo, leer, libro, biblioteca,

español

, española, descargar, gratis, descargar gratis, mp3, video, mp4, 3gp, jpg, jpeg, gif, png, imagen, música, canción, película, libro, juego, juegos