fbpx
Wikipedia

Verilog

Verilog es un lenguaje de descripción de hardware (HDL, del Inglés Hardware Description Language) usado para modelar sistemas electrónicos. El lenguaje, algunas veces llamado Verilog HDL, soporta el diseño, prueba e implementación de circuitos analógicos, digitales y de señal mixta a diferentes niveles de abstracción.

Los diseñadores de Verilog querían un lenguaje con una sintaxis similar a la del lenguaje de programación C, de tal manera que le resultara familiar a los ingenieros y así fuera rápidamente aceptada. El lenguaje tiene un preprocesador como C, y la mayoría de palabras reservadas de control como "if", "while", etc, son similares. El mecanismo de formateo en las rutinas de impresión y en los operadores del lenguaje (y su precedencia) son también similares.

A diferencia del lenguaje C, Verilog usa Begin/End en lugar de llaves para definir un bloque de código. Por otro lado la definición de constantes en Verilog requiere la longitud de bits con su base. Verilog no tiene estructuras, apuntadores o funciones recursivas. Finalmente el concepto de tiempo, muy importante en un HDL, no se encuentra en C.

El lenguaje difiere de los lenguajes de programación convencionales, en que la ejecución de las sentencias no es estrictamente lineal. Un diseño en Verilog consiste de una jerarquía de módulos. Los módulos son definidos con conjuntos de puertos de entrada, salida y bidireccionales. Internamente un módulo contiene una lista de cables y registros. Las sentencias concurrentes y secuenciales definen el comportamiento del módulo, describiendo las relaciones entre los puertos, cables y registros. Las sentencias secuenciales son colocadas dentro de un bloque begin/end y ejecutadas en orden secuencial, pero todas las sentencias concurrentes y todos los bloques begin/end son ejecutadas en paralelo en el diseño. Un módulo puede contener una o más instancias de otro módulo para definir un sub-comportamiento.

Un subconjunto de sentencias en el lenguaje es sintetizable. Si los módulos en un diseño contienen sólo sentencias sintetizables, se puede usar software para convertir o sintetizar el diseño en una lista de nodos que describe los componentes básicos y los conectores que deben implementarse en hardware. La lista de nodos puede entonces ser transformada en una forma describiendo las celdas estándar de un circuito integrado, por ejemplo ASIC, o una cadena de bits para un dispositivo de lógica programable (PLD) como puede ser una FPGA o un CPLD.

El comienzo

Verilog fue inventado por Phil Moorby en 1985 mientras trabajaba en Automated Integrated Design Systems, más tarde renombrada Gateway Design Automation. El objetivo de Verilog era ser un lenguaje de modelado de hardware. Gateway Design Automation fue comprada por Cadence Design Systems en 1990. Cadence ahora tiene todos los derechos sobre los simuladores lógicos de Verilog y Verilog-XL hechos por Gateway.

Estándar abierto

Con el incremento en el éxito de VHDL, Cadence decidió hacer el lenguaje abierto y disponible para estandarización. Cadence transfirió Verilog al dominio público a través de Open Verilog International, actualmente conocida como Accellera. Verilog fue después enviado a la IEEE que lo convirtió en el estándar IEEE 1364-1995, habitualmente referido como Verilog 95.

Verilog 2001

Extensiones a Verilog 95 fueron enviadas a la IEEE para cubrir las deficiencias que los usuarios habían encontrado en el estándar original de Verilog. Estas extensiones se volvieron el estándar IEEE 1364-2001 conocido como Verilog 2001.

Superlog/System Verilog

El advenimiento de los lenguajes de verificación de alto nivel como OpenVera y el lenguaje E de Verisity, impulsaron el desarrollo de Superlog, por Co-Design Automation Inc. Co-Design fue más tarde comprada por Synopsis. Las bases de Superlog y Vera han sido donadas a Accellera. Todo ello ha sido transformado y actualizado en forma de SystemVerilog, que probablemente se convierta en el próximo estándar de la IEEE.

Las últimas versiones del lenguaje incluyen soporte para modelado analógico y de señal mixta. Todos estos están descritos en Verilog-AMS ().

Véase también

Enlaces externos

  • www.verilog.com
  • www.veripool.org
  •   Datos: Q827773

verilog, lenguaje, descripción, hardware, inglés, hardware, description, language, usado, para, modelar, sistemas, electrónicos, lenguaje, algunas, veces, llamado, soporta, diseño, prueba, implementación, circuitos, analógicos, digitales, señal, mixta, diferen. Verilog es un lenguaje de descripcion de hardware HDL del Ingles Hardware Description Language usado para modelar sistemas electronicos El lenguaje algunas veces llamado Verilog HDL soporta el diseno prueba e implementacion de circuitos analogicos digitales y de senal mixta a diferentes niveles de abstraccion Los disenadores de Verilog querian un lenguaje con una sintaxis similar a la del lenguaje de programacion C de tal manera que le resultara familiar a los ingenieros y asi fuera rapidamente aceptada El lenguaje tiene un preprocesador como C y la mayoria de palabras reservadas de control como if while etc son similares El mecanismo de formateo en las rutinas de impresion y en los operadores del lenguaje y su precedencia son tambien similares A diferencia del lenguaje C Verilog usa Begin End en lugar de llaves para definir un bloque de codigo Por otro lado la definicion de constantes en Verilog requiere la longitud de bits con su base Verilog no tiene estructuras apuntadores o funciones recursivas Finalmente el concepto de tiempo muy importante en un HDL no se encuentra en C El lenguaje difiere de los lenguajes de programacion convencionales en que la ejecucion de las sentencias no es estrictamente lineal Un diseno en Verilog consiste de una jerarquia de modulos Los modulos son definidos con conjuntos de puertos de entrada salida y bidireccionales Internamente un modulo contiene una lista de cables y registros Las sentencias concurrentes y secuenciales definen el comportamiento del modulo describiendo las relaciones entre los puertos cables y registros Las sentencias secuenciales son colocadas dentro de un bloque begin end y ejecutadas en orden secuencial pero todas las sentencias concurrentes y todos los bloques begin end son ejecutadas en paralelo en el diseno Un modulo puede contener una o mas instancias de otro modulo para definir un sub comportamiento Un subconjunto de sentencias en el lenguaje es sintetizable Si los modulos en un diseno contienen solo sentencias sintetizables se puede usar software para convertir o sintetizar el diseno en una lista de nodos que describe los componentes basicos y los conectores que deben implementarse en hardware La lista de nodos puede entonces ser transformada en una forma describiendo las celdas estandar de un circuito integrado por ejemplo ASIC o una cadena de bits para un dispositivo de logica programable PLD como puede ser una FPGA o un CPLD Indice 1 El comienzo 2 Estandar abierto 3 Verilog 2001 4 Superlog System Verilog 5 Vease tambien 6 Enlaces externosEl comienzo EditarVerilog fue inventado por Phil Moorby en 1985 mientras trabajaba en Automated Integrated Design Systems mas tarde renombrada Gateway Design Automation El objetivo de Verilog era ser un lenguaje de modelado de hardware Gateway Design Automation fue comprada por Cadence Design Systems en 1990 Cadence ahora tiene todos los derechos sobre los simuladores logicos de Verilog y Verilog XL hechos por Gateway Estandar abierto EditarCon el incremento en el exito de VHDL Cadence decidio hacer el lenguaje abierto y disponible para estandarizacion Cadence transfirio Verilog al dominio publico a traves de Open Verilog International actualmente conocida como Accellera Verilog fue despues enviado a la IEEE que lo convirtio en el estandar IEEE 1364 1995 habitualmente referido como Verilog 95 Verilog 2001 EditarExtensiones a Verilog 95 fueron enviadas a la IEEE para cubrir las deficiencias que los usuarios habian encontrado en el estandar original de Verilog Estas extensiones se volvieron el estandar IEEE 1364 2001 conocido como Verilog 2001 Superlog System Verilog EditarEl advenimiento de los lenguajes de verificacion de alto nivel como OpenVera y el lenguaje E de Verisity impulsaron el desarrollo de Superlog por Co Design Automation Inc Co Design fue mas tarde comprada por Synopsis Las bases de Superlog y Vera han sido donadas a Accellera Todo ello ha sido transformado y actualizado en forma de SystemVerilog que probablemente se convierta en el proximo estandar de la IEEE Las ultimas versiones del lenguaje incluyen soporte para modelado analogico y de senal mixta Todos estos estan descritos en Verilog AMS https web archive org web 20190611093910 http www verilog ams com Vease tambien EditarABEL SystemC VHDL TFAEnlaces externos EditarEstandar del 1364 2001 IEEE standard Verilog hardware description language www verilog com www veripool org Datos Q827773 Obtenido de https es wikipedia org w index php title Verilog amp oldid 132154788, wikipedia, wiki, leyendo, leer, libro, biblioteca,

español

, española, descargar, gratis, descargar gratis, mp3, video, mp4, 3gp, jpg, jpeg, gif, png, imagen, música, canción, película, libro, juego, juegos