fbpx
Wikipedia

PowerPC 600

La familia PowerPC 600 fue la primera familia de procesadores PowerPC construida. Fueron diseñados en las instalaciones de Somerset en Austin, Texas, financiados y atendidos conjuntamente por ingenieros de IBM y Motorola como parte de la alianza AIM. Somerset se inauguró en 1992 y su objetivo era fabricar el primer procesador PowerPC y luego seguir diseñando procesadores PowerPC de propósito general para computadoras personales. La primera encarnación se convirtió en el PowerPC 601 en 1993, y pronto siguió la segunda generación con los PowerPC 603, PowerPC 604 y PowerPC 620 de 64 bits.

Modelos principales

CPU Etapas de canalización Misc
PowerPC 601 4 3 unidades de ejecución, una de predicción de saltos estática. Soporte para SMP.
PowerPC 603 4 5 unidades de ejecución, predicción de saltos. Sin SMP.
PowerPC 604 6 Superescalar, ejecución fuera de orden, 6 unidades de ejecución. Soporte para SMP.
PowerPC 620 5 Ejecución fuera de orden. Soporte para SMP.

PowerPC 601

 
El prototipo PowerPC 601 alcanzó el primer silicio en octubre de 1992

El PowerPC 601 fue la primera generación de microprocesadores compatibles con el conjunto de instrucciones básico de 32-bit del PowerPC. El esfuerzo de diseño comenzó en serio a mediados de 1991 y los primeros chips prototipo estuvieron disponibles en octubre de 1992. Los primeros procesadores 601 se introdujeron en una estación de trabajo IBM RS/6000 en octubre de 1993 (junto con su más potente línea de procesadores multichip IBM POWER2), y el primer Apple Power Macintosh el 14 de marzo de 1994. El 601 fue la primera implementación avanzada de un solo chip de la arquitectura POWER/PowerPC diseñada en un cronograma acelerado para establecer el PowerPC en el mercado y cimentar la alianza AIM. Con el fin de lograr un cronograma extremadamente agresivo al tiempo que incluye una funcionalidad sustancialmente nueva (como mejoras sustanciales en el rendimiento, nuevas instrucciones y, lo que es más importante, la primera implementación de multiprocesamiento simétrico (SMP) de POWER/PowerPC), el diseño aprovechó una serie de tecnologías clave y estrategias de gestión de proyectos. El equipo 601 aprovechó gran parte de la estructura básica y partes del procesador IBM RISC Single Chip (RSC),[1]​ pero también incluye soporte para la gran mayoría de las nuevas instrucciones de PowerPC que no están en la conjunto de instrucciones POWER. Si bien casi todas las partes del diseño de RSC se modificaron, y muchos bloques de diseño se modificaron sustancialmente o se rediseñaron por completo debido a la estructura unificada del bus de E/S y apoyo SMP/coherencia de la memoria. Los nuevos cambios de PowerPC, que aprovecharon la estructura básica de RSC, fueron muy beneficiosos para reducir la incertidumbre en el área del chip/planificación y el análisis/ajuste de tiempo. Vale la pena señalar que el 601 no solo implementó nuevas funciones clave sustanciales como SMP, sino que también actuó como un puente entre POWER y los futuros procesadores PowerPC para ayudar a IBM y a los desarrolladores de software en sus transiciones a PowerPC. Desde el inicio del diseño hasta el tape-out del primer prototipo 601 transcurrieron solo 12 meses con el fin de esforzarse mucho para establecer PowerPC en el mercado lo antes posible.

Bus 60x

Para ayudar en el esfuerzo de incorporar rápidamente la arquitectura de bus 88110 al 601 en beneficio de la alianza y sus clientes, la gerencia de Motorola proporcionó no solo las especificaciones de la arquitectura de bus 88110, sino también un puñado de diseñadores del 88110 para ayudar con la implementación y verificación de la lógica del bus 60x. Dado que el equipo de diseño del sistema de Apple estaba familiarizado con la estructura del bus de E/S del 88110 de Motorola y que esta implementación del bus de E/S estaba bien definida y documentada, el equipo del 601 adoptó la tecnología de bus para mejorar el tiempo de comercialización. El bus pasó a llamarse bus 60x una vez implementado en el 601.[2]​ A estos diseñadores de Motorola (y un pequeño número de Apple) se unieron más de 120 diseñadores de IBM en la creación del 601.

El uso del bus 88110 como base para el bus 60x ayudó a los cronogramas de varias maneras. Ayudó al equipo de Apple Power Macintosh al reducir la cantidad de rediseño de sus ASIC y redujo la cantidad de tiempo que los diseñadores y arquitectos de procesadores necesitaban para proponer, documentar, negociar y cerrar una nueva interfaz de bus (evitando con éxito las «guerras de buses» esperadas por el equipo de administración del 601 si no se hubiera adoptado el bus 88110 o los buses RSC anteriores). Vale la pena señalar que la aceptación del bus 88110 en beneficio de los esfuerzos de Apple y la alianza fue a expensas de los esfuerzos del primer equipo de diseño del sistema IBM RS/6000 que ya tenía sus ASIC de soporte implementados en una estructura de bus totalmente diferente del RSC.

Este bus 60x luego se convirtió en una interfaz básica bastante duradera para las muchas variantes de los procesadores 601, 603, 604, PowerPC G3, G4 y Motorola/Freescale PowerQUICC.

 
Un PowerPC 601 de 80 MHz

Diseño

El chip fue diseñado para adaptarse a una amplia variedad de aplicaciones y tenía soporte para caché L2 externo y multiprocesamiento simétrico. Tenía cuatro unidades funcionales, incluyendo una unidad de coma flotante, una unidad entera, una unidad de saltos y una unidad secuenciadora. El procesador también incluía una unidad de gestión de memoria. La segmentación de instrucciones (pipeline) de enteros tenía cuatro etapas de largo, el pipeline de saltos de dos etapas, el pipeline de memoria de cinco etapas y el de coma flotante de seis etapas de largo.

Lanzado por primera vez en los sistemas de IBM en el otoño de 1993, IBM lo comercializó como PPC601 y Motorola como MPC601. Operaba a velocidades que oscilaban entre 50 y 80 MHz. Se fabricó mediante un proceso CMOS de 0,6 μm con cuatro niveles de interconexión de aluminio. El silicio tenía 121 mm2 y contenía 2,8 millones de transistores. El 601 tiene un caché L1 unificada de 32 KB, una capacidad que se consideraba grande en ese momento para un caché en el chip. Gracias en parte a la gran memoria caché, se consideró un procesador de alto rendimiento en su segmento, superando al Intel Pentium de la competencia. El PowerPC 601 se usó en las primeras computadoras Power Macintosh de Apple, y en una variedad de estaciones de trabajo RS/6000 y servidores SMP de IBM y Groupe Bull.

IBM era el único fabricante de los microprocesadores 601 y 601+ en sus instalaciones de producción de Burlington, Vermont y East Fishkill, Nueva York. El 601 usó el proceso IBM CMOS-4s y el 601+ usó el proceso IBM CMOS-5x. Una cantidad extremadamente pequeña de estos procesadores 601 y 601+ se volvió a etiquetar con los logotipos y números de pieza de Motorola y se distribuyó a través de Motorola. Estos hechos están algo oscurecidos dado que hay varias imágenes del «Motorola MPC601», en particular un caso específico de marketing magistral de Motorola donde el 601 fue nombrado uno de los «Productos del año» Time Magazine de 1994, con la marca Motorola.

PowerPC 601v

 
Un PowerPC 601v de 90 MHz fabricado por IBM. Observe el dado un poco más pequeño.

En 1994 se presentó una versión actualizada, PowerPC 601v o PowerPC 601+, que funcionaba de 90 a 120 MHz. Se fabricó en un proceso CMOS de 0,5 μm más nuevo con cuatro niveles de interconexión, lo que da como resultado un silicio de 74 mm2. El diseño 601+ fue reasignado de CMOS-4s a CMOS-5x por un equipo exclusivo de IBM. Para evitar retrasos en el tiempo de comercialización debido a los cambios en las herramientas de diseño y la uniformización de las reglas básicas de fabricación, tanto el 601 como el 601+ se diseñaron con herramientas EDA de IBM en sistemas de IBM y se fabricaron en instalaciones exclusivas de IBM.[3][4][5][6]

PowerPC 603

 
Un Motorola PowerPC 603 de 100 MHz en un encapsulado cuadrado plano (QFP) con soldado de cables.
 
Un Motorola PowerPC 603 de 200 MHz en un paquete de cerámica Ball Grid Array.

El PowerPC 603 fue el primer procesador que implementó la arquitectura PowerPC completa de 32 bits especificada. Introducido en 1994, fue un diseño avanzado para su época, siendo uno de los primeros microprocesadores en ofrecer emisión dual (hasta tres con plegado de saltos) y ejecución desordenada combinada con un bajo consumo de energía de 2,2 W y un silicio pequeño, de 85 mm2.[7][8][9][10]​ Fue diseñado para ser un procesador de bajo costo y bajo consumo de energía para equipos portátiles. Una de las características principales eran las funciones de ahorro de energía (modo de reposo, siesta y suspensión) que podían reducir drásticamente los requisitos de energía, consumiendo solo 2 mW en modo de suspensión. El 603 tiene una canalización de cuatro etapas y cinco unidades de ejecución: unidad entera, unidad de coma flotante, unidad de predicción de saltos, unidad de carga/almacenamiento y una unidad de registro del sistema. Tiene cachés L1 de 8 KB independientes para instrucciones y datos y un bus de memoria de 60x de 32/64 bits, que alcanza hasta 120 MHz a 3,8 V.[10]​ El núcleo 603 no tenía soporte de hardware para SMP.

El PowerPC 603 tenía 1,6 millones de transistores y fue fabricado por IBM y Motorola en un proceso CMOS de 0,5 μm con cuatro niveles de interconexión. El silicio era de 85 mm2 de dibujo grande, de 2,2 W a 80 MHz.[10][11]​ La arquitectura 603 es la antepasada directa de la arquitectura PowerPC 750, comercializada por Apple como PowerPC «G3».

El 603 estaba destinado a ser utilizado por las computadoras Apple Macintosh portátiles, pero no podía ejecutar software de emulación 68K con un rendimiento que Apple considerara adecuado, debido a las cachés de procesador más pequeñas. Como resultado, Apple optó por usar el 603 solo en su línea Performa de escritorio de bajo costo.[12][13]​ Esto provocó el retraso de la Apple PowerBook 5300 y PowerBook Duo 2300, ya que Apple optó por esperar una revisión del procesador. El uso de Apple del 603 en la línea Performa 5200 hizo que el procesador obtuviera una mala reputación. Además del problema del rendimiento de la emulación de 68K, las máquinas Performa se enviaron con una variedad de fallas de diseño, algunas de ellas graves, relacionadas con otros aspectos del diseño de las computadoras, incluido el rendimiento y la estabilidad de la red, problemas de bus (ancho, velocidad, contención y complejidad), errores de ROM y rendimiento del disco duro.[14][15]​ Ninguno de los problemas de la línea 5200, aparte del rendimiento de la emulación de 68K, se debió inherentemente al 603. Más bien, el procesador se modificó para usarse con placas base 68K y otras partes obsoletas.[16]​ El sitio Low End Mac califica a la Performa 5200 como la peor Mac de todos los tiempos.[17]​ El 603 encontró un uso generalizado en diferentes dispositivos integrados.[cita requerida]

PowerPC 603e y 603ev

 
IBM PPC603ev, 200 MHz

Los problemas de rendimiento del 603 se abordaron en el PowerPC 603e. La memoria caché L1 se amplió y mejoró a cachés de cuatro vías de 16 KB de conjuntos asociativos de datos e instrucciones. La velocidad de reloj de los procesadores también se duplicó, alcanzando los 200 MHz. La reducción del proceso de fabricación a 350 nm permitió velocidades de hasta 300 MHz. Esta parte a veces se llama PowerPC 603ev. El 603e y el 603ev tienen 2,6 millones de transistores cada uno y miden 98 mm2 y 78 mm2 respectivamente. El 603ev consume un máximo de 6 W a 300 MHz.[18][19]

El PowerPC 603e fue el primer procesador de escritorio estándar en alcanzar los 300 MHz, tal como se usa en el Power Macintosh 6500. El 603e también se usó en placas aceleradoras de Phase5 para la línea de computadoras Amiga, con CPU con velocidades de 160 a 240 MHz. El PowerPC 603e todavía lo venden IBM y Freescale, y otros como Atmel y Honeywell que fabrican la variante resistente a la radiación RHPPC. El PowerPC 603e también fue el corazón del BeBox de Be Inc. El BeBox es notable ya que es un sistema de multiprocesamiento, algo para lo que el 603 no fue diseñado. IBM también usó procesadores PowerPC 603e en la serie IBM ThinkPad 800. En ciertas series de osciloscopios digitales, LeCroy utilizaba el PowerPC 603e como procesador principal.[20][21]​ Los procesadores 603e también alimentan los 66 satélites en la flota de teléfonos satelitales Iridium. Cada uno de los satélites contiene siete procesadores Motorola/Freescale PowerPC 603e que funcionan a aproximadamente 200 MHz cada uno. También se utiliza un procesador 603e personalizado en el torpedo ligero Mark 54 MAKO.

G2

El núcleo PowerPC 603e, renombrado G2 por Freescale, es la base de muchos procesadores integrados PowerQUICC II y, como tal, sigue desarrollándose. Los procesadores PowerQUICC II SoC de Freescale llevan la designación MPC82xx y vienen en una variedad de configuraciones que alcanzan los 450 MHz. El nombre G2 también se usa como un retrónimo para los procesadores 603e y 604 para alinearse con G3, G4 y G5.

e300

Freescale ha mejorado el núcleo 603e, llamándolo e300 en los procesadores integrados PowerQUICC II Pro. Se agregaron cachés L1 más grandes de 32/32 KB y otras medidas para mejorar el rendimiento. Los procesadores SoC PowerQUICC II Pro de Freescale llevan la designación MPC83xx y vienen en una variedad de configuraciones que alcanzan velocidades de hasta 667 MHz. El e300 también es el núcleo del procesador SoC MPC5200B que se usa en la pequeña computadora EFIKA.

PowerPC 604

 
Un Motorola PowerPC 604e de 233 MHz montado en una tarjeta de procesador CyberstormPPC Phase5 para las computadoras de la serie Commodore Amiga 4000.

El PowerPC 604 se introdujo en diciembre de 1994 junto con el 603 y fue diseñado como un chip de alto rendimiento para estaciones de trabajo y servidores básicos y, como tal, tenía soporte para multiprocesamiento simétrico en hardware. El 604 se usó ampliamente en los sistemas de gama alta de Apple y también en clones de Macintosh, servidores y estaciones de trabajo de gama baja RS/6000 de IBM, placas aceleradoras Amiga y como CPU integrada para aplicaciones de telecomunicaciones.

El 604 es un procesador superescalar capaz de emitir cuatro instrucciones simultáneamente. El 604 tiene un pipeline de seis etapas y seis unidades de ejecución que pueden trabajar en paralelo, terminando hasta seis instrucciones por ciclo. Dos unidades enteras simples y una compleja, una unidad de coma flotante, una unidad de procesamiento de saltos que gestiona ejecución fuera de orden y una unidad de carga/almacenamiento. Tiene memorias caché L1 de datos e instrucciones separadas de 16 KB. La interfaz externa es un bus 60x de 32 o 64 bits que funciona a velocidades de reloj de hasta 50 MHz.

El PowerPC 604 contiene 3,6 millones de transistores y fue fabricado por IBM y Motorola con un proceso CMOS de 0,5 μm con cuatro niveles de interconexión. El silicio medía 12,4 mm por 15,8 mm (196 mm2) y consumía 14-17 W a 133 MHz. Funcionaba a velocidades entre 100 y 180 MHz.[22][23][24]

PowerPC 604e

 
Un procesador IBM PowerPC 604e de 200 MHz en el módulo de CPU de un Apple Network Server 700.

El PowerPC 604e se introdujo en julio de 1996 y agregó una unidad de registro de condición y cachés L1 de datos e instrucciones separados de 32 KB, entre otros cambios en su subsistema de memoria y unidad de predicción de bifurcaciones, lo que resultó en un aumento del rendimiento del 25% en comparación a su predecesor. Tenía 5,1 millones de transistores y fue fabricado por IBM y Motorola en un proceso CMOS de 0,35 μm con cinco niveles de interconexión. El silicio tenía un tamaño de 148 mm2 o 96 mm2, fabricado por Motorola e IBM respectivamente, consumiendo entre 16 y 18 W a 233 MHz. Operaba a velocidades entre 166 y 233 MHz y admitía un bus de memoria de hasta 66 MHz.[25][26]

PowerPC 604ev «Mach5»

El PowerPC 604ev, 604r o «Mach 5» se introdujo en agosto de 1997 y era esencialmente un 604e fabricado por IBM y Motorola con un proceso más nuevo, alcanzando mayor velocidades con un menor consumo de energía. El silicio tenía un tamaño pequeño, de 47 mm2, fabricado en un proceso CMOS de 0,25 μm con cinco niveles de interconexión y consumía 6 W a 250 MHz. Operaba a velocidades entre 250 y 400 MHz y admitía un bus de memoria de hasta 100 MHz.

Mientras que Apple abandonó el 604ev en 1998 a favor del PowerPC 750, IBM siguió usándolo en los modelos básicos de sus computadoras RS/6000 durante varios años.

PowerPC 620

El PowerPC 620 fue la primera implementación de toda la arquitectura PowerPC de 64 bits. Era un PowerPC de segunda generación junto con el 603 y el 604, pero orientado al mercado de servidores y estaciones de trabajo de alta gama. Era poderoso sobre el papel e inicialmente se suponía que se lanzaría junto con sus hermanos, pero se retrasó hasta 1997. Cuando llegó, el rendimiento era comparativamente pobre y el 604e, considerablemente más económico, lo superó.[27]​ Por lo tanto, el 620 nunca se produjo en grandes cantidades y encontró muy poco uso. El único usuario de PowerPC 620 fue Groupe Bull en sus máquinas UNIX Escala, pero no entregaron grandes cantidades. IBM, que pretendía utilizarlo en estaciones de trabajo y servidores, decidió esperar a los procesadores RS64 y POWER3 de 64 bits aún más potentes.

El 620 fue producido por Motorola en un proceso de 0,5 μm. Tenía 6,9 millones de transistores y el silicio tenía un área de 311 mm2. Operaba a frecuencias de reloj entre 120 y 150 MHz, y consumía 30 W a 133 MHz. Se construyó un modelo posterior utilizando un proceso de 0,35 μm, lo que le permitió alcanzar los 200 MHz.

El 620 era similar al 604. Tiene un pipeline de cinco etapas, mismo soporte para multiprocesamiento simétrico y el mismo número de unidades de ejecución; una unidad de carga/almacenamiento, una unidad de saltos, una unidad de coma flotante y tres unidades de enteros. Con cachés de datos e instrucciones de 32 KB más grandes, soporte para un caché L2 que puede tener una capacidad de 128 MB y unidades de saltos y carga/almacenamiento más poderosas que tenían más búferes, el 620 era muy potente. La tabla de historial de bifurcación también era más grande y podía enviar más instrucciones para que el procesador pudiera manejar la ejecución fuera de orden de manera más eficiente que el 604. La unidad de coma flotante también se mejoró en comparación con el 604. Con un ciclo de recuperación más rápido y soporte para varias instrucciones clave en hardware (como sqrt) combinado con buses de datos más rápidos y más amplios, resultó más eficiente que la unidad de coma flotante en el 604.

Buses 6XX y GX

El bus del sistema era un bus de memoria de 128 bits más ancho y rápido llamado «bus 6XX». Fue diseñado para ser un bus de sistema para sistemas multiprocesador donde se conectarían procesadores, cachés, memoria y E/S, asistidos por un chip de control del sistema. Admite procesadores PowerPC de 32 y 64 bits, direcciones de memoria de más de 32 bits y entornos NUMA. También se usó en POWER3, RS64 y 601, así como en sistemas RS/6000 basados ​​en 604 (con un chip puente).[28]​ Más tarde, el bus se convirtió en el bus GX del POWER4, y posteriormente GX+ y GX++ en POWER5 y POWER6 respectivamente. El bus GX también se utiliza en los mainframes z10 y System z z196 de IBM.

Familia extendida

PowerPC 602

El PowerPC 602 era una versión simplificada del PowerPC 603, fabricado especialmente para consolas de juegos por Motorola e IBM, presentado en febrero de 1995.[29]​ Tiene cachés L1 más pequeñas (4 KB de instrucción y 4 KB de datos), una unidad de coma flotante de precisión simple[29]​ y una unidad de predicción de bifurcación reducida. Se ofrecía a velocidades que oscilaban entre 50 y 80 MHz y consumía 1,2 W a 66 MHz. Consistía en 1 millón de transistores y tenía un tamaño de 50 mm2 fabricado en un proceso CMOS de 0,5 μm con cuatro niveles de interconexión.<[30]

3DO desarrolló la videoconsola M2 que usaba dos PowerPC 602,[29][31]​ pero nunca se comercializó.

PowerPC 603q

El 21 de octubre de 1996, la compañía de semiconductores sin fábrica Quantum Effect Devices (QED) anunció un procesador compatible con PowerPC 603 llamado «PowerPC 603q» en el Microprocessor Report. A pesar de su nombre, no tenía nada en común con ningún otro 603. Fue una implementación desde cero de la arquitectura PowerPC de 32 bits dirigida al mercado integrado de gama alta desarrollado durante dos años. Como tal, era pequeño, simple, energéticamente eficiente, pero poderoso; igualando el 603e más caro mientras consumía menos energía. Tenía una canalización ordenada de cinco etapas con una sola unidad de enteros, una unidad de coma flotante (FPU) doble precisión y cachés separadas de instrucciones y datos de 16 KB y 8 KB respectivamentes. Si bien la unidad de enteros era un diseño completamente nuevo, la FPU se derivó del R4600 para ahorrar tiempo. Tenía un tamaño de 69 mm2 usando un proceso de fabricación de 0,5 μm y consumía solo 1,2 W a 120 MHz.[32][33]

El 603q fue diseñado para Motorola, pero rescindieron el contrato antes de que el 603q entrara en plena producción. Como resultado, el 603q se canceló ya que QED no pudo continuar comercializando el procesador ya que carecían de una licencia propia de PowerPC.

PowerPC 613

«PowerPC 613» parece ser un nombre que Motorola le había dado a un PowerPC de tercera generación.[34][35][36]​ Supuestamente fue rebautizado como «PowerPC 750» en respuesta al procesador x704 de Exponential Technology que fue diseñado para superar al 604 por un amplio margen. Sin embargo, casi no hay fuentes que confirmen nada de esto y podría ser pura especulación o una referencia a un procesador completamente diferente.

PowerPC 614

Similar a PowerPC 613, el «PowerPC 614» podría haber sido un nombre dado por Motorola a una PowerPC de tercera generación,[34][36]​ y luego renombrado por la misma razón que el 613. Se ha sugerido que fue renombrado como «PowerPC 7400», y Motorola incluso la pasó a la cuarta generación de PowerPC a pesar de que las diferencias arquitectónicas entre «G3» y «G4» era pequeñas. Sin embargo, casi no hay fuentes que confirmen nada de esto y podría ser pura especulación o una referencia a un procesador completamente diferente.

PowerPC 615

El «PowerPC 615» es un procesador PowerPC anunciado por IBM en 1994, pero que nunca llegó a producción en masa. Su característica principal era incorporar un núcleo x86 en el chip, lo que hacía que el procesador pudiera procesar de forma nativa las instrucciones PowerPC y x86.[37]​ Un sistema operativo que se ejecuta en PowerPC 615 podía optar por ejecutar instrucciones PowerPC de 32 o 64 bits, instrucciones x86 de 32 bits o una combinación de las tres. La mezcla de instrucciones implicaría un cambio de contexto en la CPU con una pequeña sobrecarga. Los únicos sistemas operativos compatibles con el 615 eran Minix y una versión de desarrollo especial de OS/2.[38]

Tenía un tamaño de 330 mm2 y lo fabricó IBM en un proceso de 0,35 μm. Era compatible con los pines con los procesadores Pentium de Intel y comparable en velocidad. El procesador se presentó solo como un prototipo y el programa se eliminó en parte por el hecho de que Microsoft nunca admitió el procesador. Los ingenieros que trabajaron en el PowerPC 615 luego encontraron su camino a Transmeta, donde trabajaron en el procesador Crusoe.

PowerPC 625

«PowerPC 625» fue el nombre inicial de los procesadores PowerPC de 64 bits de la serie Apache, diseñados por IBM basados en el conjunto de instrucciones PowerPC-AS «Amazon». Más tarde fueron rebautizados como «RS64». La designación «PowerPC 625» nunca se utilizó para los procesadores finales.

PowerPC 630

«PowerPC 630» fue el primer nombre del procesador PowerPC de 64 bits de gama alta, diseñado por IBM para unificar los conjuntos de instrucciones POWER y PowerPC. Más tarde se le cambió el nombre a «POWER3», probablemente para distinguirlo de los procesadores «PowerPC» más orientados al consumidor, utilizados por Apple.

PowerPC 641

«PowerPC 641», nombre en clave Habanero, es un proyecto PowerPC desaparecido de IBM en entre 1994 y 1996. Se ha sugerido que fue el PowerPC de tercera generación basado en el procesador 604.[39][40]

Referencias

  1. Stokes, Jon (3 de agosto de 2004). «PowerPC on Apple: An Architectural History, Part I (page 2, "PowerPC 601")». Ars Technica. 
  2. «The Bus Interface for 32-Bit Microprocessors». Motorola. 1997. 
  3. Allen, M.; Becker, M (February 1993). Multiprocessing Aspects of the PowerPC 601 Microprocessor. Compcon. pp. 117–126. 
  4. Becker, Michael K. (September 1993). «The PowerPC 601 Microprocessor». IEEE Micro: 54–68. 
  5. Moore, C.R. (February 1993). The PowerPC 601 Microprocessor. Compcon. pp. 109–116. 
  6. . Archivado desde el original el 7 de febrero de 2009. 
  7. Pham et al., "A 3.0 W 75 SPECint92 85 SPECfp92 Superscalar RISC Microprocessor", ISSC Digest Of Technical Papers, pp. 212–213, Feb. 1994.
  8. Burgess et al., "The PowerPC 603 Microprocessor: A High Performance, Low Power, Superscalar RISC Microprocessor", Proceedings of COMPCON '94, Feb. 1994.
  9. Gary et al., "The PowerPC 603 Microprocessor: A Low-Power Design For Portable Applications", Proceedings of COMPCON '94, Feb. 1994.
  10. Gerosa et al., "A 2.2 W, 80 MHz Superscalar RISC Microprocessor", IEEE Journal of Solid-State Circuits, vol. 29, pp. 1440–1454, Dec. 1994.
  11. James Kahle; Deene Ogden. . IBM. Archivado desde el original el 6 de agosto de 1997. 
  12. Linley Gwennap (27 de febrero de 1997). . Microprocessor Report 11 (2). Archivado desde el original el 30 de julio de 2018. «The 603’s tiny 8K caches were notoriously poor for Mac OS software, particularly for 68K emulation; even the 603e’s caches cause a significant performance hit at higher clock speeds. Given Arthur’s design target of 250 MHz and up, doubling the caches again made sense. » 
  13. Jansen, Daniel (2014). «CPUs: PowerPC 603 and 603e». Low End Mac. Consultado el 29 de julio de 2018. 
  14. Barber, Scott (1997). «Performa and Power Mac x200 Issues». Low End Mac. Consultado el 29 de julio de 2018. 
  15. Davison, Remy. . Insanely Great Mac. Archivado desde el original el 1 de febrero de 2010. Consultado el 30 de julio de 2018. 
  16. Knight, Daniel (2014). «Power Mac and Performa x200, Road Apples». Low End Mac. Consultado el 29 de julio de 2018. 
  17. «Performa 5200». Low End Mac. 1995. Consultado el 29 de julio de 2018. 
  18. «Freescale's 603e page». Freescale Semiconductor. 
  19. . Archivado desde el original el 7 de febrero de 2009. 
  20. LeCroy 1998 Test & Measurement Products Catalog, TMCAT98 0498
  21. LeCroy 2001 Test and Measurement Products Catalog
  22. Stokes, Jon (3 de agosto de 2004). «PowerPC on Apple: An Architectural History, Part I (page 6, The PowerPC 604)». Ars Technica. 
  23. Gwennap, Linley (18 de abril de 1994). «PPC 604 Powers Past Pentium». Microprocessor Report 8 (5). 
  24. Song, Peter S.; Denman, Marvin; Chang, Joe (October 1994). «The PowerPC 604 RISC Microprocessor». IEEE Micro. 
  25. «IBM's PowerPC 604e page». Uso incorrecto de la plantilla enlace roto (enlace roto disponible en Internet Archive; véase el historial, la primera versión y la última).
  26. «NXP's PowerPC 604e page». 
  27. «IBM trashes PowerPC 620 system plans». Tech Monitor. New Statesman Media Group Ltd. 25 de agosto de 1997. Consultado el 20 de marzo de 2021. 
  28. Thompson, Tom; Ryan, Bob. . Byte. Archivado desde el original el 20 de diciembre de 1996. 
  29. «M2». Next Generation (Imagine Media) (6): 36-40. June 1995. 
  30. PowerPC 602 RISC Microprocessor Hardware Specification
  31. 3DO/Matsushita M2 Console Information
  32. . Quantum Effect Devices. 21 de octubre de 1996. Archivado desde el original el 12 de julio de 2007. 
  33. Turley, Jim (18 de noviembre de 1996). «QED’s PowerPC 603q Heads for Low Cost». Microprocessor Report: 22–23. 
  34. PowerPC revving up for next generation – Speedier RISC ahead through '97
  35. Art Arizpe -Project Manager/Engineering Manager Motorola, 1991–1996
  36. Processor Codenames – PowerPC's
  37. Halfhill, Tom R. . Byte. Archivado desde el original el 20 de diciembre de 1996. 
  38. «Microsoft killed the PowerPC 615». The Register. 1 de octubre de 1998. 
  39. . Archivado desde el original el 24 de julio de 2011. 
  40. Every, David K. (1999). . Archivado desde el original el 10 de octubre de 1999. 

Enlaces externos

  •   Datos: Q1088832
  •   Multimedia: PowerPC 6xx / Q1088832

powerpc, familia, primera, familia, procesadores, powerpc, construida, fueron, diseñados, instalaciones, somerset, austin, texas, financiados, atendidos, conjuntamente, ingenieros, motorola, como, parte, alianza, somerset, inauguró, 1992, objetivo, fabricar, p. La familia PowerPC 600 fue la primera familia de procesadores PowerPC construida Fueron disenados en las instalaciones de Somerset en Austin Texas financiados y atendidos conjuntamente por ingenieros de IBM y Motorola como parte de la alianza AIM Somerset se inauguro en 1992 y su objetivo era fabricar el primer procesador PowerPC y luego seguir disenando procesadores PowerPC de proposito general para computadoras personales La primera encarnacion se convirtio en el PowerPC 601 en 1993 y pronto siguio la segunda generacion con los PowerPC 603 PowerPC 604 y PowerPC 620 de 64 bits Indice 1 Modelos principales 1 1 PowerPC 601 1 1 1 Bus 60x 1 1 2 Diseno 1 1 3 PowerPC 601v 1 2 PowerPC 603 1 2 1 PowerPC 603e y 603ev 1 2 2 G2 1 2 3 e300 1 3 PowerPC 604 1 3 1 PowerPC 604e 1 3 2 PowerPC 604ev Mach5 1 4 PowerPC 620 1 4 1 Buses 6XX y GX 2 Familia extendida 2 1 PowerPC 602 2 2 PowerPC 603q 2 3 PowerPC 613 2 4 PowerPC 614 2 5 PowerPC 615 2 6 PowerPC 625 2 7 PowerPC 630 2 8 PowerPC 641 3 Referencias 4 Enlaces externosModelos principales EditarCPU Etapas de canalizacion MiscPowerPC 601 4 3 unidades de ejecucion una de prediccion de saltos estatica Soporte para SMP PowerPC 603 4 5 unidades de ejecucion prediccion de saltos Sin SMP PowerPC 604 6 Superescalar ejecucion fuera de orden 6 unidades de ejecucion Soporte para SMP PowerPC 620 5 Ejecucion fuera de orden Soporte para SMP PowerPC 601 Editar El prototipo PowerPC 601 alcanzo el primer silicio en octubre de 1992 El PowerPC 601 fue la primera generacion de microprocesadores compatibles con el conjunto de instrucciones basico de 32 bit del PowerPC El esfuerzo de diseno comenzo en serio a mediados de 1991 y los primeros chips prototipo estuvieron disponibles en octubre de 1992 Los primeros procesadores 601 se introdujeron en una estacion de trabajo IBM RS 6000 en octubre de 1993 junto con su mas potente linea de procesadores multichip IBM POWER2 y el primer Apple Power Macintosh el 14 de marzo de 1994 El 601 fue la primera implementacion avanzada de un solo chip de la arquitectura POWER PowerPC disenada en un cronograma acelerado para establecer el PowerPC en el mercado y cimentar la alianza AIM Con el fin de lograr un cronograma extremadamente agresivo al tiempo que incluye una funcionalidad sustancialmente nueva como mejoras sustanciales en el rendimiento nuevas instrucciones y lo que es mas importante la primera implementacion de multiprocesamiento simetrico SMP de POWER PowerPC el diseno aprovecho una serie de tecnologias clave y estrategias de gestion de proyectos El equipo 601 aprovecho gran parte de la estructura basica y partes del procesador IBM RISC Single Chip RSC 1 pero tambien incluye soporte para la gran mayoria de las nuevas instrucciones de PowerPC que no estan en la conjunto de instrucciones POWER Si bien casi todas las partes del diseno de RSC se modificaron y muchos bloques de diseno se modificaron sustancialmente o se redisenaron por completo debido a la estructura unificada del bus de E S y apoyo SMP coherencia de la memoria Los nuevos cambios de PowerPC que aprovecharon la estructura basica de RSC fueron muy beneficiosos para reducir la incertidumbre en el area del chip planificacion y el analisis ajuste de tiempo Vale la pena senalar que el 601 no solo implemento nuevas funciones clave sustanciales como SMP sino que tambien actuo como un puente entre POWER y los futuros procesadores PowerPC para ayudar a IBM y a los desarrolladores de software en sus transiciones a PowerPC Desde el inicio del diseno hasta el tape out del primer prototipo 601 transcurrieron solo 12 meses con el fin de esforzarse mucho para establecer PowerPC en el mercado lo antes posible Bus 60x Editar Para ayudar en el esfuerzo de incorporar rapidamente la arquitectura de bus 88110 al 601 en beneficio de la alianza y sus clientes la gerencia de Motorola proporciono no solo las especificaciones de la arquitectura de bus 88110 sino tambien un punado de disenadores del 88110 para ayudar con la implementacion y verificacion de la logica del bus 60x Dado que el equipo de diseno del sistema de Apple estaba familiarizado con la estructura del bus de E S del 88110 de Motorola y que esta implementacion del bus de E S estaba bien definida y documentada el equipo del 601 adopto la tecnologia de bus para mejorar el tiempo de comercializacion El bus paso a llamarse bus 60x una vez implementado en el 601 2 A estos disenadores de Motorola y un pequeno numero de Apple se unieron mas de 120 disenadores de IBM en la creacion del 601 El uso del bus 88110 como base para el bus 60x ayudo a los cronogramas de varias maneras Ayudo al equipo de Apple Power Macintosh al reducir la cantidad de rediseno de sus ASIC y redujo la cantidad de tiempo que los disenadores y arquitectos de procesadores necesitaban para proponer documentar negociar y cerrar una nueva interfaz de bus evitando con exito las guerras de buses esperadas por el equipo de administracion del 601 si no se hubiera adoptado el bus 88110 o los buses RSC anteriores Vale la pena senalar que la aceptacion del bus 88110 en beneficio de los esfuerzos de Apple y la alianza fue a expensas de los esfuerzos del primer equipo de diseno del sistema IBM RS 6000 que ya tenia sus ASIC de soporte implementados en una estructura de bus totalmente diferente del RSC Este bus 60x luego se convirtio en una interfaz basica bastante duradera para las muchas variantes de los procesadores 601 603 604 PowerPC G3 G4 y Motorola Freescale PowerQUICC Un PowerPC 601 de 80 MHz Diseno Editar El chip fue disenado para adaptarse a una amplia variedad de aplicaciones y tenia soporte para cache L2 externo y multiprocesamiento simetrico Tenia cuatro unidades funcionales incluyendo una unidad de coma flotante una unidad entera una unidad de saltos y una unidad secuenciadora El procesador tambien incluia una unidad de gestion de memoria La segmentacion de instrucciones pipeline de enteros tenia cuatro etapas de largo el pipeline de saltos de dos etapas el pipeline de memoria de cinco etapas y el de coma flotante de seis etapas de largo Lanzado por primera vez en los sistemas de IBM en el otono de 1993 IBM lo comercializo como PPC601 y Motorola como MPC601 Operaba a velocidades que oscilaban entre 50 y 80 MHz Se fabrico mediante un proceso CMOS de 0 6 mm con cuatro niveles de interconexion de aluminio El silicio tenia 121 mm2 y contenia 2 8 millones de transistores El 601 tiene un cache L1 unificada de 32 KB una capacidad que se consideraba grande en ese momento para un cache en el chip Gracias en parte a la gran memoria cache se considero un procesador de alto rendimiento en su segmento superando al Intel Pentium de la competencia El PowerPC 601 se uso en las primeras computadoras Power Macintosh de Apple y en una variedad de estaciones de trabajo RS 6000 y servidores SMP de IBM y Groupe Bull IBM era el unico fabricante de los microprocesadores 601 y 601 en sus instalaciones de produccion de Burlington Vermont y East Fishkill Nueva York El 601 uso el proceso IBM CMOS 4s y el 601 uso el proceso IBM CMOS 5x Una cantidad extremadamente pequena de estos procesadores 601 y 601 se volvio a etiquetar con los logotipos y numeros de pieza de Motorola y se distribuyo a traves de Motorola Estos hechos estan algo oscurecidos dado que hay varias imagenes del Motorola MPC601 en particular un caso especifico de marketing magistral de Motorola donde el 601 fue nombrado uno de los Productos del ano Time Magazine de 1994 con la marca Motorola PowerPC 601v Editar Un PowerPC 601v de 90 MHz fabricado por IBM Observe el dado un poco mas pequeno En 1994 se presento una version actualizada PowerPC 601v o PowerPC 601 que funcionaba de 90 a 120 MHz Se fabrico en un proceso CMOS de 0 5 mm mas nuevo con cuatro niveles de interconexion lo que da como resultado un silicio de 74 mm2 El diseno 601 fue reasignado de CMOS 4s a CMOS 5x por un equipo exclusivo de IBM Para evitar retrasos en el tiempo de comercializacion debido a los cambios en las herramientas de diseno y la uniformizacion de las reglas basicas de fabricacion tanto el 601 como el 601 se disenaron con herramientas EDA de IBM en sistemas de IBM y se fabricaron en instalaciones exclusivas de IBM 3 4 5 6 PowerPC 603 Editar Un Motorola PowerPC 603 de 100 MHz en un encapsulado cuadrado plano QFP con soldado de cables Un Motorola PowerPC 603 de 200 MHz en un paquete de ceramica Ball Grid Array El PowerPC 603 fue el primer procesador que implemento la arquitectura PowerPC completa de 32 bits especificada Introducido en 1994 fue un diseno avanzado para su epoca siendo uno de los primeros microprocesadores en ofrecer emision dual hasta tres con plegado de saltos y ejecucion desordenada combinada con un bajo consumo de energia de 2 2 W y un silicio pequeno de 85 mm2 7 8 9 10 Fue disenado para ser un procesador de bajo costo y bajo consumo de energia para equipos portatiles Una de las caracteristicas principales eran las funciones de ahorro de energia modo de reposo siesta y suspension que podian reducir drasticamente los requisitos de energia consumiendo solo 2 mW en modo de suspension El 603 tiene una canalizacion de cuatro etapas y cinco unidades de ejecucion unidad entera unidad de coma flotante unidad de prediccion de saltos unidad de carga almacenamiento y una unidad de registro del sistema Tiene caches L1 de 8 KB independientes para instrucciones y datos y un bus de memoria de 60x de 32 64 bits que alcanza hasta 120 MHz a 3 8 V 10 El nucleo 603 no tenia soporte de hardware para SMP El PowerPC 603 tenia 1 6 millones de transistores y fue fabricado por IBM y Motorola en un proceso CMOS de 0 5 mm con cuatro niveles de interconexion El silicio era de 85 mm2 de dibujo grande de 2 2 W a 80 MHz 10 11 La arquitectura 603 es la antepasada directa de la arquitectura PowerPC 750 comercializada por Apple como PowerPC G3 El 603 estaba destinado a ser utilizado por las computadoras Apple Macintosh portatiles pero no podia ejecutar software de emulacion 68K con un rendimiento que Apple considerara adecuado debido a las caches de procesador mas pequenas Como resultado Apple opto por usar el 603 solo en su linea Performa de escritorio de bajo costo 12 13 Esto provoco el retraso de la Apple PowerBook 5300 y PowerBook Duo 2300 ya que Apple opto por esperar una revision del procesador El uso de Apple del 603 en la linea Performa 5200 hizo que el procesador obtuviera una mala reputacion Ademas del problema del rendimiento de la emulacion de 68K las maquinas Performa se enviaron con una variedad de fallas de diseno algunas de ellas graves relacionadas con otros aspectos del diseno de las computadoras incluido el rendimiento y la estabilidad de la red problemas de bus ancho velocidad contencion y complejidad errores de ROM y rendimiento del disco duro 14 15 Ninguno de los problemas de la linea 5200 aparte del rendimiento de la emulacion de 68K se debio inherentemente al 603 Mas bien el procesador se modifico para usarse con placas base 68K y otras partes obsoletas 16 El sitio Low End Mac califica a la Performa 5200 como la peor Mac de todos los tiempos 17 El 603 encontro un uso generalizado en diferentes dispositivos integrados cita requerida PowerPC 603e y 603ev Editar IBM PPC603ev 200 MHz Los problemas de rendimiento del 603 se abordaron en el PowerPC 603e La memoria cache L1 se amplio y mejoro a caches de cuatro vias de 16 KB de conjuntos asociativos de datos e instrucciones La velocidad de reloj de los procesadores tambien se duplico alcanzando los 200 MHz La reduccion del proceso de fabricacion a 350 nm permitio velocidades de hasta 300 MHz Esta parte a veces se llama PowerPC 603ev El 603e y el 603ev tienen 2 6 millones de transistores cada uno y miden 98 mm2 y 78 mm2 respectivamente El 603ev consume un maximo de 6 W a 300 MHz 18 19 El PowerPC 603e fue el primer procesador de escritorio estandar en alcanzar los 300 MHz tal como se usa en el Power Macintosh 6500 El 603e tambien se uso en placas aceleradoras de Phase5 para la linea de computadoras Amiga con CPU con velocidades de 160 a 240 MHz El PowerPC 603e todavia lo venden IBM y Freescale y otros como Atmel y Honeywell que fabrican la variante resistente a la radiacion RHPPC El PowerPC 603e tambien fue el corazon del BeBox de Be Inc El BeBox es notable ya que es un sistema de multiprocesamiento algo para lo que el 603 no fue disenado IBM tambien uso procesadores PowerPC 603e en la serie IBM ThinkPad 800 En ciertas series de osciloscopios digitales LeCroy utilizaba el PowerPC 603e como procesador principal 20 21 Los procesadores 603e tambien alimentan los 66 satelites en la flota de telefonos satelitales Iridium Cada uno de los satelites contiene siete procesadores Motorola Freescale PowerPC 603e que funcionan a aproximadamente 200 MHz cada uno Tambien se utiliza un procesador 603e personalizado en el torpedo ligero Mark 54 MAKO G2 Editar El nucleo PowerPC 603e renombrado G2 por Freescale es la base de muchos procesadores integrados PowerQUICC II y como tal sigue desarrollandose Los procesadores PowerQUICC II SoC de Freescale llevan la designacion MPC82xx y vienen en una variedad de configuraciones que alcanzan los 450 MHz El nombre G2 tambien se usa como un retronimo para los procesadores 603e y 604 para alinearse con G3 G4 y G5 e300 Editar Articulo principal PowerPC e300 Freescale ha mejorado el nucleo 603e llamandolo e300 en los procesadores integrados PowerQUICC II Pro Se agregaron caches L1 mas grandes de 32 32 KB y otras medidas para mejorar el rendimiento Los procesadores SoC PowerQUICC II Pro de Freescale llevan la designacion MPC83xx y vienen en una variedad de configuraciones que alcanzan velocidades de hasta 667 MHz El e300 tambien es el nucleo del procesador SoC MPC5200B que se usa en la pequena computadora EFIKA PowerPC 604 Editar Un Motorola PowerPC 604e de 233 MHz montado en una tarjeta de procesador CyberstormPPC Phase5 para las computadoras de la serie Commodore Amiga 4000 El PowerPC 604 se introdujo en diciembre de 1994 junto con el 603 y fue disenado como un chip de alto rendimiento para estaciones de trabajo y servidores basicos y como tal tenia soporte para multiprocesamiento simetrico en hardware El 604 se uso ampliamente en los sistemas de gama alta de Apple y tambien en clones de Macintosh servidores y estaciones de trabajo de gama baja RS 6000 de IBM placas aceleradoras Amiga y como CPU integrada para aplicaciones de telecomunicaciones El 604 es un procesador superescalar capaz de emitir cuatro instrucciones simultaneamente El 604 tiene un pipeline de seis etapas y seis unidades de ejecucion que pueden trabajar en paralelo terminando hasta seis instrucciones por ciclo Dos unidades enteras simples y una compleja una unidad de coma flotante una unidad de procesamiento de saltos que gestiona ejecucion fuera de orden y una unidad de carga almacenamiento Tiene memorias cache L1 de datos e instrucciones separadas de 16 KB La interfaz externa es un bus 60x de 32 o 64 bits que funciona a velocidades de reloj de hasta 50 MHz El PowerPC 604 contiene 3 6 millones de transistores y fue fabricado por IBM y Motorola con un proceso CMOS de 0 5 mm con cuatro niveles de interconexion El silicio media 12 4 mm por 15 8 mm 196 mm2 y consumia 14 17 W a 133 MHz Funcionaba a velocidades entre 100 y 180 MHz 22 23 24 PowerPC 604e Editar Un procesador IBM PowerPC 604e de 200 MHz en el modulo de CPU de un Apple Network Server 700 El PowerPC 604e se introdujo en julio de 1996 y agrego una unidad de registro de condicion y caches L1 de datos e instrucciones separados de 32 KB entre otros cambios en su subsistema de memoria y unidad de prediccion de bifurcaciones lo que resulto en un aumento del rendimiento del 25 en comparacion a su predecesor Tenia 5 1 millones de transistores y fue fabricado por IBM y Motorola en un proceso CMOS de 0 35 mm con cinco niveles de interconexion El silicio tenia un tamano de 148 mm2 o 96 mm2 fabricado por Motorola e IBM respectivamente consumiendo entre 16 y 18 W a 233 MHz Operaba a velocidades entre 166 y 233 MHz y admitia un bus de memoria de hasta 66 MHz 25 26 PowerPC 604ev Mach5 Editar El PowerPC 604ev 604r o Mach 5 se introdujo en agosto de 1997 y era esencialmente un 604e fabricado por IBM y Motorola con un proceso mas nuevo alcanzando mayor velocidades con un menor consumo de energia El silicio tenia un tamano pequeno de 47 mm2 fabricado en un proceso CMOS de 0 25 mm con cinco niveles de interconexion y consumia 6 W a 250 MHz Operaba a velocidades entre 250 y 400 MHz y admitia un bus de memoria de hasta 100 MHz Mientras que Apple abandono el 604ev en 1998 a favor del PowerPC 750 IBM siguio usandolo en los modelos basicos de sus computadoras RS 6000 durante varios anos PowerPC 620 Editar El PowerPC 620 fue la primera implementacion de toda la arquitectura PowerPC de 64 bits Era un PowerPC de segunda generacion junto con el 603 y el 604 pero orientado al mercado de servidores y estaciones de trabajo de alta gama Era poderoso sobre el papel e inicialmente se suponia que se lanzaria junto con sus hermanos pero se retraso hasta 1997 Cuando llego el rendimiento era comparativamente pobre y el 604e considerablemente mas economico lo supero 27 Por lo tanto el 620 nunca se produjo en grandes cantidades y encontro muy poco uso El unico usuario de PowerPC 620 fue Groupe Bull en sus maquinas UNIX Escala pero no entregaron grandes cantidades IBM que pretendia utilizarlo en estaciones de trabajo y servidores decidio esperar a los procesadores RS64 y POWER3 de 64 bits aun mas potentes El 620 fue producido por Motorola en un proceso de 0 5 mm Tenia 6 9 millones de transistores y el silicio tenia un area de 311 mm2 Operaba a frecuencias de reloj entre 120 y 150 MHz y consumia 30 W a 133 MHz Se construyo un modelo posterior utilizando un proceso de 0 35 mm lo que le permitio alcanzar los 200 MHz El 620 era similar al 604 Tiene un pipeline de cinco etapas mismo soporte para multiprocesamiento simetrico y el mismo numero de unidades de ejecucion una unidad de carga almacenamiento una unidad de saltos una unidad de coma flotante y tres unidades de enteros Con caches de datos e instrucciones de 32 KB mas grandes soporte para un cache L2 que puede tener una capacidad de 128 MB y unidades de saltos y carga almacenamiento mas poderosas que tenian mas buferes el 620 era muy potente La tabla de historial de bifurcacion tambien era mas grande y podia enviar mas instrucciones para que el procesador pudiera manejar la ejecucion fuera de orden de manera mas eficiente que el 604 La unidad de coma flotante tambien se mejoro en comparacion con el 604 Con un ciclo de recuperacion mas rapido y soporte para varias instrucciones clave en hardware como sqrt combinado con buses de datos mas rapidos y mas amplios resulto mas eficiente que la unidad de coma flotante en el 604 Buses 6XX y GX Editar El bus del sistema era un bus de memoria de 128 bits mas ancho y rapido llamado bus 6XX Fue disenado para ser un bus de sistema para sistemas multiprocesador donde se conectarian procesadores caches memoria y E S asistidos por un chip de control del sistema Admite procesadores PowerPC de 32 y 64 bits direcciones de memoria de mas de 32 bits y entornos NUMA Tambien se uso en POWER3 RS64 y 601 asi como en sistemas RS 6000 basados en 604 con un chip puente 28 Mas tarde el bus se convirtio en el bus GX del POWER4 y posteriormente GX y GX en POWER5 y POWER6 respectivamente El bus GX tambien se utiliza en los mainframes z10 y System z z196 de IBM Familia extendida EditarPowerPC 602 Editar El PowerPC 602 era una version simplificada del PowerPC 603 fabricado especialmente para consolas de juegos por Motorola e IBM presentado en febrero de 1995 29 Tiene caches L1 mas pequenas 4 KB de instruccion y 4 KB de datos una unidad de coma flotante de precision simple 29 y una unidad de prediccion de bifurcacion reducida Se ofrecia a velocidades que oscilaban entre 50 y 80 MHz y consumia 1 2 W a 66 MHz Consistia en 1 millon de transistores y tenia un tamano de 50 mm2 fabricado en un proceso CMOS de 0 5 mm con cuatro niveles de interconexion lt 30 3DO desarrollo la videoconsola M2 que usaba dos PowerPC 602 29 31 pero nunca se comercializo PowerPC 603q Editar El 21 de octubre de 1996 la compania de semiconductores sin fabrica Quantum Effect Devices QED anuncio un procesador compatible con PowerPC 603 llamado PowerPC 603q en el Microprocessor Report A pesar de su nombre no tenia nada en comun con ningun otro 603 Fue una implementacion desde cero de la arquitectura PowerPC de 32 bits dirigida al mercado integrado de gama alta desarrollado durante dos anos Como tal era pequeno simple energeticamente eficiente pero poderoso igualando el 603e mas caro mientras consumia menos energia Tenia una canalizacion ordenada de cinco etapas con una sola unidad de enteros una unidad de coma flotante FPU doble precision y caches separadas de instrucciones y datos de 16 KB y 8 KB respectivamentes Si bien la unidad de enteros era un diseno completamente nuevo la FPU se derivo del R4600 para ahorrar tiempo Tenia un tamano de 69 mm2 usando un proceso de fabricacion de 0 5 mm y consumia solo 1 2 W a 120 MHz 32 33 El 603q fue disenado para Motorola pero rescindieron el contrato antes de que el 603q entrara en plena produccion Como resultado el 603q se cancelo ya que QED no pudo continuar comercializando el procesador ya que carecian de una licencia propia de PowerPC PowerPC 613 Editar PowerPC 613 parece ser un nombre que Motorola le habia dado a un PowerPC de tercera generacion 34 35 36 Supuestamente fue rebautizado como PowerPC 750 en respuesta al procesador x704 de Exponential Technology que fue disenado para superar al 604 por un amplio margen Sin embargo casi no hay fuentes que confirmen nada de esto y podria ser pura especulacion o una referencia a un procesador completamente diferente PowerPC 614 Editar Similar a PowerPC 613 el PowerPC 614 podria haber sido un nombre dado por Motorola a una PowerPC de tercera generacion 34 36 y luego renombrado por la misma razon que el 613 Se ha sugerido que fue renombrado como PowerPC 7400 y Motorola incluso la paso a la cuarta generacion de PowerPC a pesar de que las diferencias arquitectonicas entre G3 y G4 era pequenas Sin embargo casi no hay fuentes que confirmen nada de esto y podria ser pura especulacion o una referencia a un procesador completamente diferente PowerPC 615 Editar El PowerPC 615 es un procesador PowerPC anunciado por IBM en 1994 pero que nunca llego a produccion en masa Su caracteristica principal era incorporar un nucleo x86 en el chip lo que hacia que el procesador pudiera procesar de forma nativa las instrucciones PowerPC y x86 37 Un sistema operativo que se ejecuta en PowerPC 615 podia optar por ejecutar instrucciones PowerPC de 32 o 64 bits instrucciones x86 de 32 bits o una combinacion de las tres La mezcla de instrucciones implicaria un cambio de contexto en la CPU con una pequena sobrecarga Los unicos sistemas operativos compatibles con el 615 eran Minix y una version de desarrollo especial de OS 2 38 Tenia un tamano de 330 mm2 y lo fabrico IBM en un proceso de 0 35 mm Era compatible con los pines con los procesadores Pentium de Intel y comparable en velocidad El procesador se presento solo como un prototipo y el programa se elimino en parte por el hecho de que Microsoft nunca admitio el procesador Los ingenieros que trabajaron en el PowerPC 615 luego encontraron su camino a Transmeta donde trabajaron en el procesador Crusoe PowerPC 625 Editar PowerPC 625 fue el nombre inicial de los procesadores PowerPC de 64 bits de la serie Apache disenados por IBM basados en el conjunto de instrucciones PowerPC AS Amazon Mas tarde fueron rebautizados como RS64 La designacion PowerPC 625 nunca se utilizo para los procesadores finales PowerPC 630 Editar PowerPC 630 fue el primer nombre del procesador PowerPC de 64 bits de gama alta disenado por IBM para unificar los conjuntos de instrucciones POWER y PowerPC Mas tarde se le cambio el nombre a POWER3 probablemente para distinguirlo de los procesadores PowerPC mas orientados al consumidor utilizados por Apple PowerPC 641 Editar PowerPC 641 nombre en clave Habanero es un proyecto PowerPC desaparecido de IBM en entre 1994 y 1996 Se ha sugerido que fue el PowerPC de tercera generacion basado en el procesador 604 39 40 Referencias Editar Stokes Jon 3 de agosto de 2004 PowerPC on Apple An Architectural History Part I page 2 PowerPC 601 Ars Technica The Bus Interface for 32 Bit Microprocessors Motorola 1997 Allen M Becker M February 1993 Multiprocessing Aspects of the PowerPC 601 Microprocessor Compcon pp 117 126 Becker Michael K September 1993 The PowerPC 601 Microprocessor IEEE Micro 54 68 Moore C R February 1993 The PowerPC 601 Microprocessor Compcon pp 109 116 PowerPC 601 Microprocessor Archivado desde el original el 7 de febrero de 2009 Pham et al A 3 0 W 75 SPECint92 85 SPECfp92 Superscalar RISC Microprocessor ISSC Digest Of Technical Papers pp 212 213 Feb 1994 Burgess et al The PowerPC 603 Microprocessor A High Performance Low Power Superscalar RISC Microprocessor Proceedings of COMPCON 94 Feb 1994 Gary et al The PowerPC 603 Microprocessor A Low Power Design For Portable Applications Proceedings of COMPCON 94 Feb 1994 a b c Gerosa et al A 2 2 W 80 MHz Superscalar RISC Microprocessor IEEE Journal of Solid State Circuits vol 29 pp 1440 1454 Dec 1994 James Kahle Deene Ogden PowerPC 603 Microprocessor IBM Archivado desde el original el 6 de agosto de 1997 Linley Gwennap 27 de febrero de 1997 Arthur Revitalizes PowerPC Line Microprocessor Report 11 2 Archivado desde el original el 30 de julio de 2018 The 603 s tiny 8K caches were notoriously poor for Mac OS software particularly for 68K emulation even the 603e s caches cause a significant performance hit at higher clock speeds Given Arthur s design target of 250 MHz and up doubling the caches again made sense Jansen Daniel 2014 CPUs PowerPC 603 and 603e Low End Mac Consultado el 29 de julio de 2018 Barber Scott 1997 Performa and Power Mac x200 Issues Low End Mac Consultado el 29 de julio de 2018 Davison Remy The 10 Worst Macs Ever Built Insanely Great Mac Archivado desde el original el 1 de febrero de 2010 Consultado el 30 de julio de 2018 Knight Daniel 2014 Power Mac and Performa x200 Road Apples Low End Mac Consultado el 29 de julio de 2018 Performa 5200 Low End Mac 1995 Consultado el 29 de julio de 2018 Freescale s 603e page Freescale Semiconductor IBM s 603e page Archivado desde el original el 7 de febrero de 2009 LeCroy 1998 Test amp Measurement Products Catalog TMCAT98 0498 LeCroy 2001 Test and Measurement Products Catalog Stokes Jon 3 de agosto de 2004 PowerPC on Apple An Architectural History Part I page 6 The PowerPC 604 Ars Technica Gwennap Linley 18 de abril de 1994 PPC 604 Powers Past Pentium Microprocessor Report 8 5 Song Peter S Denman Marvin Chang Joe October 1994 The PowerPC 604 RISC Microprocessor IEEE Micro IBM s PowerPC 604e page Uso incorrecto de la plantilla enlace roto enlace roto disponible en Internet Archive vease el historial la primera version y la ultima NXP s PowerPC 604e page IBM trashes PowerPC 620 system plans Tech Monitor New Statesman Media Group Ltd 25 de agosto de 1997 Consultado el 20 de marzo de 2021 Thompson Tom Ryan Bob PowerPC 620 Soars Byte Archivado desde el original el 20 de diciembre de 1996 a b c M2 Next Generation Imagine Media 6 36 40 June 1995 PowerPC 602 RISC Microprocessor Hardware Specification 3DO Matsushita M2 Console Information QED Announces PowerPC Microprocessor Technology Development In Addition To Existing MIPS Microprocessors Quantum Effect Devices 21 de octubre de 1996 Archivado desde el original el 12 de julio de 2007 Turley Jim 18 de noviembre de 1996 QED s PowerPC 603q Heads for Low Cost Microprocessor Report 22 23 a b PowerPC revving up for next generation Speedier RISC ahead through 97 Art Arizpe Project Manager Engineering Manager Motorola 1991 1996 a b Processor Codenames PowerPC s Halfhill Tom R Alternate Views of the 615 Byte Archivado desde el original el 20 de diciembre de 1996 Microsoft killed the PowerPC 615 The Register 1 de octubre de 1998 Charles Moore s resume Archivado desde el original el 24 de julio de 2011 Every David K 1999 G3 s they just keep getting better Archivado desde el original el 10 de octubre de 1999 Enlaces externos EditarEsta obra contiene una traduccion derivada de PowerPC 600 de Wikipedia en ingles publicada por sus editores bajo la Licencia de documentacion libre de GNU y la Licencia Creative Commons Atribucion CompartirIgual 3 0 Unported Datos Q1088832 Multimedia PowerPC 6xx Q1088832 Obtenido de https es wikipedia org w index php title PowerPC 600 amp oldid 147119268 PowerPC 603, wikipedia, wiki, leyendo, leer, libro, biblioteca,

español

, española, descargar, gratis, descargar gratis, mp3, video, mp4, 3gp, jpg, jpeg, gif, png, imagen, música, canción, película, libro, juego, juegos