fbpx
Wikipedia

Litografía ultravioleta extrema

La litografía ultravioleta extrema (también conocida como EUV, UVE, EUVL o LUVE) es una tecnología de litografía que utiliza una gama de longitudes de onda ultravioleta extrema (UVE), que abarca aproximadamente un ancho de banda de 2% FWHM de aproximadamente 13,5 nm.[1][2][3][4]

Mecanismo de formación de imágenes en litografía UVE. Parte superior: UVE multicapa y absorbente (púrpura) que constituye un patrón de máscara para obtener imágenes de una línea. Parte inferior: la radiación UVE (roja) reflejada por el patrón de la máscara se absorbe en la resistencia (amarillo) y el sustrato (marrón), produciendo fotoelectrones y electrones secundarios (azul). Estos electrones aumentan la extensión de las reacciones químicas en la resistencia. Un patrón de electrones secundarios de naturaleza aleatoria se superpone a la imagen óptica. La exposición secundaria a electrones no deseada produce una pérdida de resolución, una rugosidad observable en el borde de la línea y una variación del ancho de línea.
Aspecto estocástico de la formación de imágenes UVE. El ruido de la toma de fotones puede causar una variación significativa de la dosis local, que puede cruzar el umbral de impresión (línea de puntos).

Si bien la tecnología UVE está disponible para la producción en masa, menos de cincuenta máquinas en todo el mundo son capaces de producir obleas utilizando la técnica;[5]​ en comparación, a partir de 2013, más de 200 sistemas de inmersión en Litografía Ultravioleta Profunda (UVP o DUV por sus siglas en inglés) ya estaban implementados.[6]​ A partir del tercer trimestre de 2019, 5,7 millones de obleas han sido expuestas en herramientas de producción de UVE; Se expusieron 1,7 millones de obleas solo en Q1-Q3, mientras que el número de herramientas aumentó de 31 a 45 (del orden de 10 WPH por herramienta).[7]​ Los problemas que dificultan la adopción de UVE son los costos de las herramientas (los escáneres UVE de ASML pueden costar hasta US$120 millones),[8][9]​ tiempo de actividad de la herramienta y fenómenos estocásticos.[10]

Herramienta

La herramienta consiste en una fuente de luz de plasma de estaño (Sn) accionada por láser, ópticas reflectivas que comprenden espejos de múltiples capas, contenidas dentro de un ambiente de gas hidrógeno. El hidrógeno se utiliza para mantener el espejo colector UVE en la fuente libre de deposición de Sn.[11]

LUVE es una desviación significativa del estándar de litografía ultravioleta profunda. Toda la materia absorbe la radiación UVE. Por lo tanto, la litografía UVE requiere un vacío. Todos los elementos ópticos, incluida la fotomáscara, deben usar multicapas de molibdeno/silicio (Mo/Si) sin defectos (que consisten en bicapas de 40 Mo/Si) que actúan para reflejar la luz por medio de la interferencia entre capas; Cualquiera de estos espejos absorbe alrededor del 30% de la luz incidente.

Los sistemas LUVE actuales contienen al menos dos espejos multicapa de condensador, seis espejos multicapa de proyección y un objeto multicapa (máscara). Como la óptica ya absorbe el 96% de la luz UVE, la fuente de UVE ideal deberá ser mucho más brillante que sus antecesoras. El desarrollo de la fuente UVE se ha centrado en los plasmas generados por láser o pulsos de descarga. El espejo responsable de recoger la luz está directamente expuesto al plasma y, por lo tanto, es vulnerable al daño de los iones de alta energía[12][13]​ y otros escombros.[14]

Requerimientos de recursos

Utilidad Salida de 200 W UVE 90 W de salida ArF inmersión doble patrón
Potencia eléctrica (kW) 532 49
Flujo de agua de enfriamiento (L/min) 1600 75
Gas lines 6 3

Fuente: Gigaphoton, Sematech Symposium Japan, 15 de septiembre de 2010

Los recursos de utilidad requeridos son significativamente más grandes para UVE en comparación con la inmersión de 193 nm, incluso con dos exposiciones utilizando esta última. Hynix informó en el simposio de UVE de 2009 que la eficiencia del tapón de pared era ~0,02% para UVE, es decir, para obtener 200 vatios a un enfoque intermedio por 100 obleas por hora, uno requeriría 1 megavatio de potencia de entrada, en comparación con 165 -kilowatts para un escáner de inmersión ArF, y que incluso con el mismo rendimiento, la huella del escáner UVE fue aproximadamente 3 veces la huella de un escáner de inmersión ArF, lo que resultó en una pérdida de productividad.[15]​ Además, para confinar desechos de iones, se puede requerir un imán superconductor.[16]

Una herramienta típica de UVE pesa 180 toneladas.[17]

Resumen de características clave

La siguiente tabla resume las diferencias clave entre los sistemas UVE en desarrollo y los sistemas de inmersión ArF que ya se utilizan ampliamente en la producción actual:

UVE Inmersión ArF
Longitud de onda 13,5 nm 193 nm
Energía de fotones 92 eV 6,4 eV
Fuente de luz CO2 láser + Sn plasma ArF excimer láser
Ancho de banda de longitud de onda 5,9%[18] <0,16[19]
Electrones secundarios producidos por absorción. Si No
Ópticas Multicapas reflectantes (~40% de absorción por espejo) Lentes transmisivas
Apertura numérica (NA) 0,25: NXE:3100
0,33: NXE:33x0 y NXE:3400B
Alta NA (0,55): en desarrollo
1,2, 1,35
Resolución spec

Nota: k1 definido aquí como resolución / (longitud de onda / apertura numérica)

NXE:3100: 27 nm (k1=0,5)
NXE:3300B: 22 nm (k1=0,54), 18 nm (k1=0,44) con iluminación fuera de eje
NXE:3350B: 16 nm (k1=0,39)
NXE:3400B: 13 nm (k1=0,32)
38 nm (k1=0,27)
Llamarada 4%[20] <1%[21]
Iluminación Ángulo central 6 grados fuera del eje sobre la retícula En eje
Tamaño del campo 0,25 y 0,33 NA: 26 mm X 33 mm
Alta NA: 26 mm X 16,5 mm[20]
26 mm X 33 mm
Aumento 0,25 y 0,33 NA: 4X isomorfo
Alta NA: 4X/8X anamórfico
4X
Ambiente Vacío de hidrógeno Aire (área expuesta de la oblea bajo el agua)
Control de aberraciones (incluyendo térmica) Ninguna Si, e.g., FlexWave[22]
Ranura de iluminación En forma de arco[23] Rectangular
Retículo Patrón en multicapa reflectante Patrón sobre sustrato transmisivo.
Cambio de patrón de oblea con posición vertical del retículo. Sí (debido a la reflexión); ~1:40[24] No
Película Disponible, pero tiene problemas.
Obleas por día (Nota: depende de la herramienta y la dosis) 1000 6000
# herramientas en campo 36 (todos los modelos de herramienta 0,33 NA) >400

Los diferentes grados de resolución entre las herramientas de 0,33 NA se deben a las diferentes opciones de iluminación.

Fuente de luz, rendimiento y disponibilidad

 
UVE TPT en función de la dosis. El rendimiento de la oblea de una herramienta UVE es en realidad una función de la dosis de exposición, para una fuente de energía fija.
 
La degradación del colector UVE a lo largo del tiempo. La reflectividad del colector UVE se degrada en un 10% en un día (~4 mil millones de pulsos de 50 kHz), lo que lleva a una pérdida de tiempo de actividad y rendimiento.

Los átomos neutros o la materia condensada no pueden emitir radiación UV. La ionización debe preceder a la misión UVE en la materia. La producción térmica de iones positivos compartidos solo es posible en un plasma denso en caliente, que a su vez absorbe fuertemente la UVE.[25]​ A partir de 2016, la fuente de luz UVE establecida es un plasma Sn pulsado con láser.[26]​Los iones absorben la luz UVE que emiten, y son neutralizados fácilmente por los electrones en el plasma para reducir los estados de carga que producen luz principalmente en otras longitudes de onda inutilizables, lo que resulta en una eficiencia de la luz muy reducida para la litografía con una mayor densidad de potencia del plasma.

El rendimiento está vinculado a la fuente de alimentación, dividido por la dosis.[27]​ Una dosis más alta requiere un movimiento de etapa más lento (menor rendimiento) si no se puede aumentar la potencia del pulso.

El colector UVE tiene una vida útil del orden de meses, durante el cual la transmisión disminuye constantemente, más del 10% en un día (10 mil millones de pulsos de 50 kHz).[28]​ Esto podría ser debido a la acumulación de residuos de Sn mencionados anteriormente que no se limpian completamente. Por otro lado, las herramientas de litografía de inmersión convencionales para patrones dobles brindan resultados consistentes hasta por un año.[29]

Recientemente, el iluminador NXE: 3400B presenta un índice de llenado de pupila (PFR) más pequeño hasta un 20% sin pérdida de transmisión.[30]​ La PFR está maximizada y es mayor que 0,2 alrededor de un paso metálico de 45 nm.[31]

Debido al uso de espejos UVE que también absorben la luz UVE, solo una pequeña fracción de la fuente de luz está finalmente disponible en la oblea. Hay 4 espejos utilizados para la óptica de iluminación y 6 espejos para la óptica de proyección. La máscara o retícula UVE es en sí misma un espejo adicional. Con 11 reflejos, solo ~2% de la fuente de luz UVE está disponible en la oblea.[32]

Otra complicación para el rendimiento de la herramienta UVE es la forma de anillo de la ranura de exposición, en contraste con la forma rectangular convencional.[33]​ En consecuencia, el ancho y la altura de la hendidura se confunden efectivamente de una manera complicada.

Tiempo de actividad de la herramienta

La fuente de luz UVE limita el tiempo de actividad de la herramienta además del rendimiento. En un período de dos semanas, por ejemplo, se pueden programar más de siete horas de tiempo de inactividad, mientras que el tiempo de inactividad real total, incluidos los problemas no programados, podría exceder fácilmente un día.[32]​ Un error de dosis superior al 2% garantiza el tiempo de inactividad de la herramienta.[32]

Comparación con otras fuentes de luz litográficas

Mientras que los láseres de excímero ArF de 193 nm de vanguardia ofrecen intensidades de 200 W/cm²,[34]​ los láseres para producir plasmas generadores de UVE deben ser mucho más intensos, del orden de 1011 W/cm².[35]​ Una fuente de luz de litio de inmersión de 120 W de ArF de última generación no requiere más de 40 kW,[36]​ mientras que las fuentes UVE están destinadas a superar los 40 kW.[37]

El objetivo de potencia para la litografía UVE es de al menos 250 W, mientras que para otras fuentes de litografía convencionales, es mucho menos.[32]​ Por ejemplo, las fuentes de luz de litografía de inmersión apuntan a 90 W, las fuentes de ArF secas a 45 W y las fuentes de KrF a 40 W. Se espera que las fuentes UVE con alto contenido de NA requieran al menos 500 W.[32]

Cuestiones estocásticas de la UVE

 
Los modos de falla complementarios reducen la ventana del CD a un tono de 36 nm.
 
Probabilidades de fallo estocástico para espacios de 20-22 nm a dosis de 30 mJ/cm² de UVE en el rango de tono de 40-80 nm.

La litografía UVE es particularmente sensible a los efectos estocásticos.[38]​ En una gran cantidad de características impresas por UVE, aunque la gran mayoría está resuelta, algunas sufren una falla completa de impresión, por ejemplo. Faltan agujeros o líneas de puente. Una contribución significativa conocida a este efecto es la dosis utilizada para imprimir. Esto está relacionado con el problema del ruido de disparo, que se tratará más adelante. La probabilidad de falla estocástica aumenta exponencialmente a medida que disminuye el tamaño de la característica, y para el mismo tamaño de característica, aumentar la distancia entre las características también aumenta significativamente la probabilidad.[39]​ Los cortes de línea que están relativamente espaciados son un problema importante. El rendimiento requiere la detección de fallas estocásticas por debajo de 1e-12.[39]

Pueden existir múltiples modos de falla para la misma población. Por ejemplo, además del puente de las trincheras, las líneas que separan las trincheras pueden romperse. Esto puede atribuirse a la pérdida de resistencia estocástica.[38]​ Esta resistencia a la pérdida puede ocurrir a partir de electrones secundarios.[40]

La capa inferior de resistencia también juega un papel importante.[39]​ Esto podría deberse a los electrones secundarios generados por la capa inferior.[41]​ Los electrones secundarios pueden eliminar más de 10 nm de resistencia del borde expuesto.[40][42]

El nivel de defectos es del orden de 1K/mm².[43]

Cuestiones ópticas específicas del UVE

Llamarada

La llamarada es la presencia de luz de fondo que se origina a partir de la dispersión de características de la superficie que no se resuelven con la luz. En los sistemas UVE, esta luz puede ser UVE o luz fuera de banda (OoB) que también es producida por la fuente UVE. La luz de OoB agrega la complicación de afectar la exposición de resistencia en formas distintas a las explicadas por la exposición de UVE. La exposición a la luz de OoB puede aliviarse con una capa recubierta por encima de la resistencia, así como con las características de "borde negro" en la máscara UVE.[44]​ Sin embargo, el recubrimiento de capa absorbe inevitablemente la luz UVE, y el borde negro agrega el costo de procesamiento de la máscara UVE.

Electrones secundarios

La luz UVE genera fotoelectrones al ser absorbidos por la materia. Estos fotoelectrones a su vez generan electrones secundarios, que disminuyen la velocidad antes de involucrarse en reacciones químicas.[45]​ Cabe señalar que, a dosis suficientes, se sabe que los electrones de 40 eV penetran en el espesor de 180 nm, lo que lleva al desarrollo.[46]​ Más detalles sobre los electrones secundarios en la exposición fotoprotectora UVE se proporcionan a continuación. A una dosis de 160 μC/cm², correspondiente a 15 mJ/cm2 UVE dosis, suponiendo que un electrón/fotón, 30 eV electrones extraídos 7 nm de PMMA resisten después del desarrollo estándar.[47]​ Para una dosis más alta de 30 eV de 380 μC/cm2, equivalente a 36 mJ/cm² a un electrón/fotón, se eliminan 10,4 nm de PMMA resist.[48]​ Estos indican las distancias que los electrones pueden viajar en resistencia, independientemente de la dirección.[49]

Óptica reflexiva

Un aspecto fundamental de las herramientas LUVE, resultado del uso de ópticas reflectivas, es la iluminación fuera del eje (en un ángulo de 6 grados, en diferentes direcciones en diferentes posiciones dentro de la rendija de iluminación)[50]​ en una máscara de múltiples capas. Esto conduce a efectos de sombreado que dan como resultado una asimetría en el patrón de difracción que degradan la fidelidad del patrón de varias maneras como se describe a continuación.[51]

Efectos de sombreado de máscara gruesa

La incidencia oblicua en un sistema óptico reflectante conduce a efectos de sombra en presencia de un absorbente de máscara. Por ejemplo, un lado (detrás de la sombra) aparecerá más brillante que el otro (dentro de la sombra).[52]

Asimetría H-V

 
UVE no telecentrismo. Izquierda: debido a las grandes diferencias de ángulo de reflexión de varias capas, un lado de la pupila de iluminación produce más luz reflejada. Derecha: En consecuencia, la iluminación de un lado será dominante. Esto da como resultado una diferencia de trayectoria óptica entre los órdenes de difracción con respecto al desenfoque, lo que lleva a una tendencia a que el patrón se desplace.

Fundamentalmente, el comportamiento de los rayos de luz dentro del plano de reflexión (que afecta a las líneas horizontales) es diferente del comportamiento de los rayos de luz fuera del plano de reflexión (que afecta a las líneas verticales).[53]​ De manera más visible, las líneas horizontales y verticales de tamaño idéntico en la máscara UVE se imprimen en diferentes tamaños en la oblea.

 
Diferencia de CD de 2 barras vs. enfoque. La diferencia entre los anchos de dos líneas horizontales adyacentes varía en función del enfoque.

Asimetrías en conjuntos de líneas paralelas.

La combinación de la asimetría fuera del eje y el efecto de sombreado de la máscara conduce a una incapacidad fundamental de dos características idénticas, incluso en las proximidades cercanas, para estar enfocadas simultáneamente.[54]​ Una de las cuestiones clave de LUVE es la asimetría entre la línea superior e inferior de un par de líneas horizontales (las llamadas "dos barras"). Algunas formas de compensar parcialmente son el uso de funciones de asistencia y la iluminación asimétrica.[55]

Una extensión de la caja de dos barras a una rejilla que consta de muchas líneas horizontales muestra una sensibilidad similar al desenfoque.[56]​ Se manifiesta en la diferencia de CD entre las líneas de borde superior e inferior del conjunto de 11 líneas horizontales. La siguiente tabla enumera la diferencia de CD en el rango de enfoque de 100 nm bajo la iluminación del cuásar (la iluminación del cuásar se describirá en la sección sobre iluminación óptima en función del tono).

Tono Diferencia horizontal de 11 barras en la parte inferior superior del CD en un rango de enfoque de 100 nm (cuásar)
36 nm 3,5 nm
40 nm 2,5 nm
44 nm 1,7 nm

Para tonos de 40 nm o menos, los anchos de línea son de 20 nm o menos, mientras que la diferencia de CD es de al menos 2,5 nm, lo que resulta en una diferencia de al menos 12,5%.

 
Aparición de dos barras en diseño irregular. Un diseño irregular puede incluir ubicaciones de dos barras que son propensas a la obtención de imágenes asimétricas.

Cambio de patrón de desenfoque (no telecentricidad)

Mascarilla de colocación vertical.

El uso de la reflexión hace que la posición de exposición de la oblea sea extremadamente sensible a la planicie del retículo y la abrazadera del retículo. Por lo tanto, es necesario mantener la limpieza de la pinza de retícula. Pequeñas desviaciones (escala mrad) en la planitud de la máscara en la pendiente local, junto con el desenfoque de la oblea.[57]​ Más significativamente, se ha encontrado que el desenfoque de la máscara produce grandes errores de superposición.[58][59]​ En particular, para una capa de 1 nodo de metal de 10 nm (incluidas 48 nm, 64 nm, 70 nm tonos, líneas aisladas y eléctricas), el error de colocación del patrón no corregible fue de 1 nm para el cambio de posición z de la máscara de 40 nm.[60]​ Este es un cambio de patrón global de la capa con respecto a las capas previamente definidas. Sin embargo, las características en diferentes ubicaciones también cambiarán de manera diferente debido a diferentes desviaciones locales de la planitud de la máscara, por ejemplo, de defectos enterrados bajo la multicapa. Se puede estimar que la contribución de la falta de planeidad de la máscara al error de superposición es aproximadamente 1/40 veces la variación del espesor de pico a valle.[61]​ Con la especificación de 50 nm de pico a valle en blanco, es posible un error de colocación de imagen de ~1,25 nm. Las variaciones de grosor en blanco de hasta 80 nm también contribuyen, lo que lleva a un cambio de imagen de hasta 2 nm.[62]

Desenfoque de la oblea
 
Desvío del patrón de la capa de metal del nodo de 10 nm vs desenfoque. Diferentes patrones en la capa metálica de nodo de 10 nm (24 nm hp) se desplazan de manera diferente a través del enfoque, dependiendo de la orientación y la posición de la hendidura, así como de la disposición.

La iluminación fuera del eje de la retícula es también la causa de la no telecentricidad en el desenfoque de obleas, que consume la mayor parte del presupuesto de superposición de 1,4 nm del escáner NXE: 3400 UVE[63]​ incluso para reglas de diseño tan sueltas como 100 nm.[64]​ El peor error de colocación de patrón incorregible para una línea de 24 nm fue de aproximadamente 1,1 nm, en relación con una línea eléctrica adyacente de 72 nm, por cada 80 nm de desplazamiento de la posición de enfoque de la oblea en una sola posición de hendidura; cuando se incluye el rendimiento a través de la rendija, el peor error es más de 1,5 nm en la ventana de desenfoque de la oblea.[60]​ En 2017, un microscopio actínico que simulaba un sistema de litografía NA UVE de 0,33 con iluminación de cuásar 45 de 0,2/0,9 mostró que una matriz de contacto de tono de 80 nm cambió de -0,6 a 1,0 nm mientras que una matriz de contacto de tono de 56 nm cambió de -1,7 a 1,0 nm con relación a un línea de referencia horizontal, dentro de una ventana de desenfoque de +/-50 nm.[65]

El desenfoque de la oblea también conduce a errores de colocación de la imagen debido a desviaciones de la planitud de la máscara local. Si la pendiente local se indica con un ángulo α, se proyecta que la imagen se desplace en una herramienta de proyección 4x en 8 α x (PDE/2)=4 α PDE, donde PDE es la profundidad de enfoque.[66]​ Para una profundidad de enfoque de 100 nm, una pequeña desviación local de la planitud de 2,5 mrad (0,14°) puede llevar a un cambio de patrón de 1 nm.

Efectos de punta de línea

Un desafío clave para UVE es el comportamiento de contraescalado de la línea de punta a punta (T2T, "tip-to-tip") a medida que se reduce el semitono (hp, "half-pitch"). Esto se debe en parte al menor contraste de la imagen para las máscaras binarias utilizadas en la litografía UVE, que no se encuentra con el uso de máscaras de cambio de fase en la litografía de inmersión.[67][68]​ El redondeo de las esquinas del extremo de la línea conduce a un acortamiento del extremo de la línea,[69]​ y esto es peor para las máscaras binarias.[70]​ Se ha estudiado el uso de máscaras de cambio de fase en la litografía UVE, pero se encuentran dificultades con el control de fase en capas delgadas[71]​ así como el ancho de banda de la propia luz UVE.[72]​ Más convencionalmente, la corrección de proximidad óptica (CPO o OPC) se utiliza para abordar el redondeo de la esquina y el acortamiento del final de línea. A pesar de esto, se ha demostrado que la resolución de punta a punta y la capacidad de impresión de la punta de la línea se intercambian entre sí, siendo efectivamente CDs de polaridad opuesta.[73]​ Además, la efectividad de las correcciones ópticas depende de otras causas no ópticas, como la resistencia al desenfoque y los efectos de difusión, que también pueden incluir el desenfoque de electrones secundarios (que se analiza en la sección sobre exposición a la fotoprotección).[74]​ Además, los pesos y tamaños moleculares más grandes parecen reducir el redondeo de las esquinas.[75]

En las capas de metal unidireccionales, el espaciado de punta a punta es uno de los problemas más graves para los patrones de exposición única. Para las líneas verticales de paso de 40 nm, una separación nominal de punta a punta nominal de 18 nm resultó en una distancia real de punta a punta de 29 nm con CPO (corrección de proximidad óptica),[76]​ mientras que para líneas horizontales de 32 nm, la distancia de punta a punta con un espacio nominal de 14 nm fue de 31 nm con CPO.[77]​ Estas distancias reales de punta a punta definen un límite inferior del medio paso del metal que corre en la dirección perpendicular a la punta. En este caso, el límite inferior es de alrededor de 30 nm. Con una optimización adicional de la iluminación (analizada en la sección sobre optimización de máscara de fuente), el límite inferior se puede reducir aún más a alrededor de 25 nm.[78]

Para tonos más grandes, donde se puede usar iluminación convencional, la distancia de línea a punta es generalmente mayor. Para las líneas de medio paso de 24 nm, con una separación nominalmente dibujada de 20 nm, la distancia fue en realidad de 45 nm, mientras que para las líneas de medio tono de 32 nm, la misma separación nominal resultó en una distancia de punta a punta de 34 nm.[77]​ Con CPO, estos se convierten en 39 nm y 28 nm para el semitono de 24 nm y el semitono de 32 nm, respectivamente.[79]

El espacio impreso entre la punta de una línea y la línea perpendicular a la que se enfrenta es de 25-35 nm para líneas de medio paso de 22 nm (con un espacio vacío nominal de 20 nm).[79]​ Para un patrón de espacio de línea de 22 nm con un hueco nominal de 22 nm, la iluminación convencional produce una distancia de punta a línea de 38 nm, mientras que la iluminación de cuásar produce una distancia de 28 nm.[80]​ La brecha de punta a lado es una de las características más difíciles de imprimir en un patrón bidireccional.[79]

Resumen de la línea de la UVE y los efectos de esquina:[81]

Redondeo de la esquina Punta a punta Punta a lado
~25 nm 28 nm 28 nm

Fuente: Semicon West 2017, IBM

La distancia de separación de la línea final de 28 nm esencialmente obliga a que los tonos sean de al menos 56 nm para el patrón bidireccional de exposición única de UVE. El paso de metal mínimo del nodo de 7 nm ya está a 40 nm o menos, mientras que el paso de la puerta también puede estar por debajo de 56 nm,[82][83]​ por lo que esta es una indicación de que se necesitarían múltiples patrones incluso para UVE a 7 nm.[84]

Dependencia de la posición de hendidura

La dirección de la iluminación también depende en gran medida de la posición de la hendidura. Por lo tanto, patrones de troquel idénticos en diferentes mitades de la rendija obtendrían diferentes CPO. Esto los hace irresponsables por la comparación de morir a morir, ya que ya no son dados realmente idénticos.

La dependencia de la posición de la hendidura es particularmente difícil para los patrones inclinados encontrados en la DRAM.[85]​ Además de los efectos más complicados debido al sombreado y la rotación de la pupila, los bordes inclinados se convierten en forma de escalera, que puede estar distorsionada por CPO.

Aberraciones a través de la hendidura

Aberraciones, originadas por desviaciones de superficies ópticas de especificaciones subatómicas (<0,1 nm)[86]​ así como deformaciones térmicas[87][88]​ y posiblemente incluyendo efectos de reflectancia polarizados,[89]​ también son dependientes de la posición de la hendidura,[90][88]​ como se explicará más adelante, con respecto a la optimización de la máscara de origen (SMO). Se espera que las aberraciones inducidas térmicamente muestren diferencias entre las diferentes posiciones a lo largo de la ranura, correspondientes a diferentes posiciones de campo, ya que cada posición encuentra diferentes partes de los espejos deformados.[91]​ Irónicamente, el uso de materiales de sustrato con alta estabilidad térmica y mecánica hace que sea más difícil compensar los errores de frente de onda.[92]

Oportunidades de mejora para el patrón de UVE

Funciones de asistencia

Las funciones de asistencia se usan a menudo para ayudar a equilibrar la asimetría de no telecentricidad en diferentes posiciones de rendija, debido a diferentes ángulos de iluminación, comenzando en el nodo de 7 nm.[93][94]​ Sin embargo, la asimetría se reduce pero no se elimina por completo, ya que las funciones de asistencia mejoran principalmente las frecuencias espaciales más altas, mientras que las frecuencias espaciales intermedias, que también afectan el enfoque y la posición de las funciones, no se ven muy afectadas. El acoplamiento entre la imagen primaria y las imágenes propias es demasiado fuerte para que la asimetría se elimine por completo con las funciones de asistencia; Solo la iluminación asimétrica puede lograr esto.[55]​ Las funciones de asistencia también pueden obstaculizar el acceso a los rieles de alimentación/tierra. Se espera que los rieles eléctricos sean más anchos, lo que también limita la efectividad del uso de las funciones de asistencia, al limitar el tono local. Los tonos locales entre 1x y 2x el tono mínimo no permiten la colocación de la función de asistencia, ya que simplemente no hay espacio para preservar la simetría del tono local. De hecho, para la aplicación al caso de asimetría de dos barras, la ubicación óptima de la función de asistencia puede ser menor o mayor que la inclinación de dos barras.[94]​ Dependiendo del parámetro a optimizar (área de la ventana de proceso, profundidad de enfoque, latitud de exposición), la configuración óptima de la función de asistencia puede ser muy diferente, por ejemplo, el tono entre la función de asistencia y la barra es diferente del tono de dos barras, simétrico o asimétrico, etc.

En los tonos más pequeños que 58 nm, hay una compensación entre la mejora de la profundidad de enfoque y la pérdida de contraste por la colocación de la función de asistencia.[94]​ En general, todavía hay un compromiso de exposición de enfoque ya que la ventana de dosis está limitada por la necesidad de que las funciones de asistencia no se impriman accidentalmente.

Una preocupación adicional proviene del ruido de disparo;[95]​ las funciones de asistencia de sub-resolución (SRAF) hacen que la dosis requerida sea más baja, para no imprimir las funciones de asistencia de forma accidental.[96]​ Esto resulta en menos fotones que definen características más pequeñas (vea la discusión en la sección sobre ruido de disparo).

Ahora se sabe que el mecanismo subyacente para la asimetría es un sombreado diferente desde diferentes ángulos de incidencia. Por lo tanto, reducir el grosor del absorbedor sería la forma más directa de resolver el problema.[97]

Optimización de máscara de fuente

 
La optimización de máscara de fuente (SMO) se utiliza para reducir el cambio de patrón para diferentes características en una capa de metal (dirigida a CD de 16 nm con paso de ancla de 32 nm) en una sola exposición, pero no puede satisfacer todos los casos posibles.

Debido a los efectos de la no telecentricidad, las formas de alumbrado estándar de la pupila, como un disco o anular, no son suficientes para usar con tamaños de función de ~20 nm o menos (nodo de 10 nm y más).[64]​ En cambio, ciertas partes de la pupila (a menudo más del 50%) deben ser excluidas asimétricamente. Las partes a excluir dependen del patrón. En particular, las líneas más densas permitidas deben alinearse a lo largo de una dirección y prefieren una forma de dipolo. Para esta situación, se requeriría una litografía de doble exposición para los patrones 2D, debido a la presencia de ambos patrones orientados a X e Y, cada uno de los cuales requiere su propia máscara de patrón 1D y orientación dipolar.[98][99]​ Puede haber 200–400 puntos de iluminación, cada uno de los cuales contribuye con el peso de la dosis para equilibrar la imagen general a través del enfoque. Por lo tanto, el efecto de ruido de disparo (que se analizará más adelante) afecta de manera crítica la posición de la imagen a través del enfoque, en una gran cantidad de características.

También se requeriría un patrón doble o múltiple si un patrón consiste en sub-patrones que requieren iluminaciones optimizadas significativamente diferentes, debido a diferentes tonos, orientaciones, formas y tamaños.

Impacto de las aberraciones

En gran parte debido a la presencia de aberraciones residuales,[100]​ La efectividad de SMO varía a lo largo de la posición de corte.[101]​ En cada posición de la hendidura, hay diferentes aberraciones[90]​ y diferentes ángulos de incidencia azimutales que conducen a diferentes sombras.[102]​ En consecuencia, podría haber variaciones no corregidas a través de la rendija para características sensibles a la aberración, que pueden no ser vistas obviamente con patrones regulares de espacio de línea.[94]​ En cada posición de la hendidura, aunque la corrección de proximidad óptica (CPO), incluidas las características de asistencia mencionadas anteriormente, también se puede aplicar para tratar las aberraciones,[103][104]​ también retroalimentación en la especificación de iluminación,[105][101][106][107]​ Ya que los beneficios difieren para diferentes condiciones de iluminación.[103]​ Esto requeriría el uso de diferentes combinaciones de máscara de fuente en cada posición de la rendija, es decir, múltiples exposiciones de máscara por capa.[90]

Iluminación óptima en función del tono

La iluminación óptima, teniendo en cuenta las ventanas de dosis de exposición y enfoque, es una función importante del tono en el rango entre 32 nm y 48 nm (relevante para nodos de fundición de 7 nm y 10 nm), que es donde la mayoría del trabajo en UVE La aplicación ha sido enfocada. Para pasos mayores a 44 nm, la forma de la pupila de iluminación es preferiblemente convencional, que es un disco circular, que posiblemente incluya un oscurecimiento central para proporcionar una apariencia anular.[77]​ Para tonos en el rango de 44 nm a 34 nm, la forma óptima ya no es convencional o anular, sino que tiene una forma más parecida al "cuásar" (anular en forma de cuadrupolo)[108]​ fuente, es decir, un arco dentro de cada cuadrante de la pupila.[77]​ Para pasos de 32 nm y por debajo, la iluminación óptima se vuelve más parecida a un dipolo, es decir, se concentra hacia la parte superior e inferior o hacia los extremos izquierdo y derecho de la pupila.[76]​ Cuando se realiza la optimización de la máscara de la fuente, la forma resultante se asemejará a la más cercana del conjunto estándar (convencional, anular, cuásar, dipolo). Para lanzamientos de menos de 41 nm, la parte central de la pupila debe excluirse para una herramienta con NA=0,33, ya que la luz de 13,5 nm de UVE que atraviesa esa parte solo contribuirá al orden de difracción cero (luz no dispersada), lo que agregará destellos.[109]

Pitch Forma de iluminación estándar más cercana a la óptima
48 nm Convencional / anular
44 nm cuásar o convencional / anular
40 nm cuásar o convencional / anular
36 nm cuásar o convencional / anular
32 nm Dipolo
28 nm Dipolo

Ventanas de enfoque dependientes del tono

 
La mejor posición de enfoque vs tono. La mejor posición de enfoque varía fuertemente en función del tono.

En el rango de tono de 48-64 nm, la mejor posición de enfoque se desplaza más o menos linealmente en función del tono, hasta en 10-20 nm.[110]​ Para el rango de tono de 34-48 nm, la mejor posición de enfoque se desplaza más o menos linealmente en la dirección opuesta en función del tono. Esto se puede correlacionar con la diferencia de fase entre las órdenes de difracción cero y primera.[111]​ Se encontró que las funciones de asistencia, si pueden caber dentro del tono, no reducen mucho esta tendencia, para un rango de tonos intermedios,[112]​ o incluso lo empeoró para el caso de 18-27 nm y la iluminación del cuásar.[113]​ Los orificios de contacto de 50 nm en 100 nm y 150 pasos tenían las mejores posiciones de enfoque separadas por aproximadamente 25 nm; se espera que las características más pequeñas sean peores.[114]​ Los orificios de contacto en el rango de paso de 48-100 nm mostraron un mejor rango de enfoque de 37 nm.[115]​ La mejor posición de enfoque vs. tono también depende de la resistencia.[116]​ Las capas críticas a menudo contienen líneas en un paso mínimo de una polaridad, por ejemplo, zanjas de campo oscuro, en una orientación, por ejemplo, verticales, mezcladas con espacios de la otra polaridad de la otra orientación. Esto a menudo aumenta las mejores diferencias de enfoque y desafía las imágenes de punta a punta y de punta a línea.[117]

Iluminaciones para nodos avanzados.

Para el nodo "5nm" de fundición, el paso de metal mínimo para líneas horizontales se toma alrededor de 32 nm,[118]​ para los cuales se prefiere la iluminación tipo dipolo, pero el paso de metal mínimo para líneas verticales (paralelas a las puertas) se toma alrededor de 40 nm,[118]​ para lo cual se prefiere la iluminación de tipo cuásar. Además, para el nodo de "7nm" de fundición, el paso de metal mínimo para líneas horizontales se toma en torno a los 40 nm,[118]​ para la cual se espera una iluminación similar a un cuásar, mientras que el paso de metal mínimo para líneas verticales puede tomarse en alrededor de 50 nm,[118]​ Para lo cual se prefiere la iluminación convencional o anular. Para la iluminación del cuásar, la mejor posición de enfoque varía fuertemente en función del tono, particularmente de 36-40 nm en comparación con 48-60 nm, así como en el rango de 48-72 nm.[119]​ Para estos nodos, es imposible tener un solo ajuste de iluminación de exposición UVE que se adapte a las dos direcciones de la línea de metal en diferentes tonos respectivos. Se esperan capas de metal unidireccionales para estos nodos de todos modos.[118]​ En este caso, se espera que la brecha entre puntas y líneas se mantenga lo suficientemente pequeña utilizando exposiciones de corte en un escenario de patrones múltiples.[76]

Máscaras de cambio de fase

Una ventaja comúnmente promocionada de UVE ha sido la relativa facilidad de la litografía, como lo indica la relación entre el tamaño de la característica y la longitud de onda multiplicada por la apertura numérica, también conocida como la relación k1. Un ancho de línea metálico de 18 nm tiene un k1 de 0,44 para una longitud de onda de 13,5 nm, 0,33 NA, por ejemplo. Para el k1 que se aproxima a 0,5, se ha usado alguna mejora de resolución débil que incluye máscaras de cambio de fase atenuadas como esenciales para la producción con la longitud de onda del láser ArF (193 nm),[120][121][122][123][124][125]​ Considerando que esta mejora de resolución no está disponible para UVE.[126][127]​ En particular, los efectos de máscara 3D que incluyen la dispersión en los bordes del absorbente distorsionan el perfil de fase deseado.[127]​ Además, el perfil de fase se deriva efectivamente del espectro de onda plana reflejado desde la multicapa a través del absorbedor en lugar de la onda plana incidente.[128]​ Sin absorbentes, la distorsión de campo cercano también se produce en una pared lateral grabada de múltiples capas debido a la iluminación de incidencia oblicua;[129]​ Algunas luces solo atraviesan un número limitado de bicapas cerca de la pared lateral. Además, las diferentes polarizaciones (TE y TM) tienen diferentes cambios de fase.[52]

Exposición fotorresistente

Cuando se absorbe un fotón UVE, se generan fotoelectrones y electrones secundarios por ionización, de forma muy similar a lo que ocurre cuando los rayos X o los haces de electrones son absorbidos por la materia.[130]​ 10 mJ/cm² la dosis de fotones de UVE da como resultado la generación de dosis de fotoelectrones de 109 uC/cm². La resistencia más absorbente elimina más luz en la parte superior de la resistencia, dejando menos para la parte inferior de la resistencia. La absorción más grande conduce a diferencias más grandes y más significativas entre las dosis absorbidas en la parte superior e inferior de la resistencia.

Profundidad resistente Absorción (1/um) Absorción (5/um) Absorción (20/um)
Top 10 nm 1% 5% 18%
10-20 nm de profundidad 1% 4,5% 15%
20–30 nm de profundidad 1% 4,5% 12%
30–40 nm de profundidad 1% 4% 10%
40–50 nm de profundidad 1% 4% 8%

En otras palabras, cuanto menos absorba la resistencia, más uniformemente vertical será la absorción. Convencionalmente, las fotoprotecciones se hacen lo más transparentes posible para luchar por esta uniformidad vertical, que permite perfiles de resistencia más rectos. Por otro lado, para UVE, esto entra en conflicto con el objetivo de aumentar la absorción para aumentar la sensibilidad a los niveles actuales de potencia de UVE. El ruido del disparo es otra preocupación, que se explicará más adelante.

Impacto del fotoelectrónico y de los electrones secundarios sobre la resolución

 
Resiste la pérdida de los fotoelectrones de 80 eV UVE. Se espera que el fotoelectrón de 80 eV incurra en una pérdida de resistencia de ~7,5 nm, lo que dificultaría el control de las dimensiones de resistencia dentro de ~15 nm.
 
Resiste la pérdida de los fotoelectrones UVE desacelerados a 30 eV. Los electrones de 30 eV (de los fotoelectrones ralentizados) dan como resultado una pérdida de resistencia significativa, así como una reticulación a dosis más altas en PMMA.

Un estudio realizado por el Colegio de Ciencias e Ingeniería a Nanoescala (CNSE, por sus siglas en inglés) presentado en el Taller LUVE de 2013 indicó que, como una medida del fotoelectrón UVE y el desenfoque de electrones secundarios, los electrones de 50–100 eV penetraron fácilmente más allá de los 15 nm de espesor de resistencia (PMMA o resistencia comercial), que indica un rango de resistencia de más de 30 nm afectado centrado en el punto de absorción UVE, para dosis superiores a 200–300 uC/cm².[131]​ Esto se puede comparar con la degradación del contraste de la imagen notificada para los tonos de sub-40 nm más adelante en 2015.[41]

TEl proceso de penetración de electrones a través de una resistencia es esencialmente un proceso estocástico; existe una probabilidad limitada de que la resistencia a la exposición de los electrones liberados pueda ocurrir bastante lejos del punto de absorción de fotones.[132]​ Aumentar la dosis aumenta el número de electrones de gran alcance, lo que resulta en una pérdida de resistencia más prolongada. Una resistencia líder en UVE amplificada químicamente expuesta a 80 eV electrones a una dosis de hasta 80 uc/cm² mostró una pérdida de espesor de hasta 7,5 nm.[133]​ Para una resistencia de fuente abierta expuesta a cerca de 200 uC/cm² por 80 eV de electrones, el espesor de la resistencia perdida después del horneado y el desarrollo post-exposición fue de alrededor de 13 nm, mientras que duplicar la dosis resultó en un aumento de la pérdida a 15 nm.[40]​ Por otro lado, para dosis >500 uc/cm², la resistencia comienza a espesarse debido a la reticulación.[133]

Se ha demostrado que el grado de emisión de fotoelectrones de la capa subyacente a la fotoprotección UVE afecta la profundidad de enfoque.[134]​ Desafortunadamente, las capas de máscara dura tienden a aumentar la emisión de fotoelectrones, degradando la profundidad del enfoque.

Carga y captura de electrones

Debido a la producción de electrones secundarios de varias energías, la carga de la resistencia puede fluctuar localmente.[135]​ Una exposición de UVE con menos desenfoque conduce a diferencias de carga más pronunciadas en el borde de la característica,[136]​ lo que puede llevar a campos eléctricos más grandes.[137]​ Se ha observado que campos eléctricos tan grandes conducen a una ruptura dieléctrica.[135]​ La captura de electrones secundarios conduce a una reducción de los electrones secundarios emitidos por la película;[135]​ sin embargo, los sitios de trampa pueden agotarse, lo que resulta en un desenfoque de electrones secundarios extendidos efectivamente para dosis más grandes.[138]​ Se predice que el atrapamiento de electrones ocurrirá como parte del comportamiento polarónico,[139][140]​ lo que limita la deposición de energía final del electrón para atrapar las ubicaciones del sitio. El tamaño del polarón puede ser bastante grande en resistencias, por ejemplo, 46 nm en PMMA.[140]

Sensibilidad DUV

Se debe tener en cuenta que las resistencias de UVE también se pueden exponer por longitudes de onda más largas que las de UVE, en particular las longitudes de onda VUV y DUV en el rango de 150–250 nm.[141]

Resistir la desgasificación

 
Contaminación por desgasificación frente a la dosis de UVE: El aumento de la dosis al tamaño (Esize) para reducir el ruido y la rugosidad de los disparos tiene el precio del aumento de la contaminación por desgasificación. El grosor de contaminación que se muestra aquí es relativo a una resistencia de referencia.

Debido a la alta eficiencia de la absorción de UVE por fotoprotectores, el calentamiento y la desgasificación se convierten en preocupaciones principales. Los fotoprotectores orgánicos superan los hidrocarburos[142]​ mientras que los fotoprotectores de óxido metálico expulsan el agua y el oxígeno[143]​ y metal (en un ambiente de hidrógeno); lo último es impecable. Se sabe que la contaminación por carbono afecta la reflectividad de múltiples capas, mientras que el oxígeno es particularmente dañino para las capas de recubrimiento de rutenio en la óptica de múltiples capas de UVE.[144]

Efectos de contaminación

Un problema bien conocido es la deposición de contaminación en la resistencia de los hidrocarburos a temperatura ambiente o desgasificada, que resulta de reacciones impulsadas por electrones o UVE.[145]

Efectos secundarios del hidrógeno para eliminar la contaminación: redeposición de estaño, formación de ampollas, resistencia a la erosión

El hidrógeno atómico en las cámaras de herramientas se utiliza para limpiar el estaño y el carbono que se depositan en las superficies ópticas de UVE.[146]​ La reacción con estaño en la fuente de luz o resistir o en una superficie óptica para formar SnH4 volátil procede a través de la reacción:

 

[146]

El SnH4 puede alcanzar los recubrimientos de otras superficies ópticas de UVE, donde vuelve a depositar Sn a través de la reacción:

 

[146]

El Sn redepositado[147][148]​ podría eliminarse posteriormente por exposición a hidrógeno atómico.

La eliminación del carbono procede de la formación de metano a temperaturas más bajas o de la formación de acetileno a temperaturas más altas:[146]

 

Formación de metano

 

 

 

Formación de acetileno

 

El hidrógeno atómico es producido por la luz UVE directamente fotoionizante H2:

 

[149]

Los electrones generados en la reacción anterior también pueden disociar el H2 para formar hidrógeno atómico:

  

[149]

El hidrógeno también reacciona con compuestos que contienen metales para reducirlos a metales,[150]​y se difunde a través del silicio[151]​ y molibdeno[152]​ en la multicapa, eventualmente causando ampollas.[153][154]​ Las capas limitadoras que mitigan el daño relacionado con el hidrógeno a menudo reducen la reflectividad a muy por debajo del 70%.[153]​ Se sabe que las capas protectoras son permeables a los gases ambientales, incluido el oxígeno[155]​ y el hidrógeno,[156][157][158]​ así como susceptibles a los defectos de ampollas inducidas por el hidrógeno.[159]​ El hidrógeno también puede reaccionar con la capa de recubrimiento, dando como resultado su eliminación.[160]​ El hidrógeno también reacciona con la resistencia al ataque químico[161][162]​ o descomponerlos.[163]​ Además de la fotoprotección, los plasmas de hidrógeno también pueden grabar silicio, aunque muy lentamente.[164]

Membrana

Para ayudar a mitigar los efectos anteriores, la última herramienta UVE introducida en 2017, la NXE: 3400B, cuenta con una membrana que separa la oblea de la óptica de proyección de la herramienta, protegiendo a este último de la desgasificación de la resistencia en la oblea.[30]​ La membrana contiene capas que absorben la radiación DUV e IR, y transmite el 85-90% de la radiación UVE incidente. Por supuesto, hay contaminación acumulada por desgasificación de obleas y partículas en general (aunque estas últimas están desenfocadas, aún pueden obstruir la luz).

Defectos de la máscara

 
Máscara de impresión de defectos de la máscara UVE. Los defectos con alturas de escala atómica pueden afectar a las dimensiones impresas por UVE aunque estén enterradas por muchas capas. Fuente: Laboratorio Nacional Lawrence Berkeley e Intel.
 
Imprimibilidad del defecto UVE frente al tono. La capacidad de impresión (en este caso, un 10 % de CD) de un defecto de una altura y anchura determinadas varía con el tono. Tenga en cuenta que incluso la rugosidad de la superficie en la multicapa aquí puede tener un impacto notable.

La reducción de defectos en las máscaras ultravioletas extremas (UVE) es actualmente uno de los problemas más críticos que deben abordarse para la comercialización de la litografía UVE.[165]​ Los defectos se pueden enterrar debajo o dentro de la pila multicapa[166]​ o estar encima de la pila multicapa. Mesas o protuberancias se forman en los objetivos de pulverización utilizados para la deposición de múltiples capas, que pueden caer como partículas durante la deposición de múltiples capas.[167]​ De hecho, los defectos de la altura de la escala atómica (0,3-0,5 nm) con FWHM de 100 nm aún pueden imprimirse al exhibir un 10% de impacto de CD.[168]​ IBM y Toppan informaron en Photomask Japan 2015 que los defectos más pequeños, por ejemplo, el tamaño de 50 nm, pueden tener un 10% de impacto de CD incluso con una altura de 0,6 nm, sin embargo, permanecen indetectables.[169]

Además, el borde de un defecto de fase reducirá aún más la reflectividad en más del 10% si su desviación de la planitud supera los 3 grados, debido a la desviación del ángulo de incidencia objetivo de 84 grados con respecto a la superficie. Incluso si la altura del defecto es poco profunda, el borde aún deforma la capa multicapa superior, produciendo una región extendida donde la capa múltiple está inclinada. Cuanto más brusca sea la deformación, más estrecha será la extensión del borde del defecto, mayor será la pérdida de reflectividad.

La reparación de defectos de la máscara UVE también es más complicada debido a la variación de la iluminación a través de la rendija mencionada anteriormente. Debido a la sensibilidad variable del sombreado en la ranura, la altura de deposición de la reparación debe controlarse con mucho cuidado, siendo diferentes en diferentes posiciones en la ranura de iluminación de la máscara UVE.[170]

Daño multicapa

Múltiples pulsos de UVE a menos de 10 mJ/cm2 podrían acumular daños en un elemento óptico de espejo multicapa Mo/Si con casquillo Ru.[171]​ El ángulo de incidencia fue de 16° o 0,28 rad, que está dentro del rango de ángulos para un sistema óptico de 0,33 NA.

Películas

Las herramientas de producción de UVE necesitan una película para proteger la máscara de la contaminación. Actualmente, la películas aún no está garantizada para soportar 250 W de potencia necesaria para la fabricación de alto volumen; La especificación es de 40 W.[172]

Normalmente se espera que las películas protejan la máscara de las partículas durante el transporte, la entrada o salida de la cámara de exposición, así como la exposición misma. Sin las películas, los agregadores de partículas reducirían el rendimiento, lo que no ha sido un problema para la litografía óptica convencional con luz y películas de 193 nm. Sin embargo, para UVE, la viabilidad del uso de películas se ve seriamente desafiada, debido a la delgadez requerida de las películas protectoras para evitar la absorción excesiva de UVE. La contaminación de partículas sería prohibitiva si las películas no fueran estables por encima de 200 W, es decir, la potencia objetivo para la fabricación.[173]

El calentamiento de la película de la máscara UVE (temperatura de la película hasta 750 K para 80 W de potencia incidente) es una preocupación importante, debido a la deformación resultante y la disminución de la transmisión.[174]​ ASML desarrolló una membrana de película de polisilicio de 70 nm de espesor, que permite una transmisión UVE del 82%; sin embargo, menos de la mitad de las membranas sobrevivieron a los niveles de potencia de UVE esperados.[175]​ Las membranas de película de SiNx también fallaron a niveles de potencia de fuente de UVE equivalentes a 82 W.[176]​ En los niveles objetivo de 250 W, se espera que la película alcance los 686 grados centígrados,[177]​ Bien por encima del punto de fusión del aluminio. Los materiales alternativos deben permitir una transmisión suficiente, así como mantener la estabilidad mecánica y térmica. Sin embargo, el grafito, grafeno u otros nanomateriales de carbono (nanosheets, nanotubos) están dañados por UVE debido a la liberación de electrones.[178]​ y también muy fácilmente grabado en el plasma de limpieza de hidrógeno que se espera que se despliegue en los escáneres UVE.[179]​ Plasmas de hidrógeno también pueden grabar silicio también.[180][181]​ Un recubrimiento ayuda a mejorar la resistencia al hidrógeno, pero esto reduce la transmisión y/o la emisividad, y también puede afectar la estabilidad mecánica (por ejemplo, abultamiento).[182]​ La falta actual de cualquier material de película adecuado, agravado por el uso de la limpieza con plasma de hidrógeno en el escáner UVE,[183][184]​ Presenta un obstáculo a la producción en volumen.[185]

En ausencia de películas, la limpieza de la mascarilla de UVE debería verificarse antes de que se expongan las obleas reales del producto, utilizando láminas especialmente preparadas para la inspección de defectos.[186]​ Estas obleas se inspeccionan después de la impresión para detectar defectos que indiquen una máscara sucia; si se encuentra alguno, la máscara debe limpiarse y se expone otro conjunto de obleas de inspección, repitiendo el flujo hasta que la máscara esté limpia. Cualquier oblea de producto afectada debe ser reelaborada.

Defectos de abultamiento de hidrógeno

Como se mencionó anteriormente, con respecto a la eliminación de la contaminación, el hidrógeno utilizado en los sistemas recientes de UVE puede penetrar en las capas de máscara de UVE. Una vez atrapados, se produjeron defectos de bulto.[159]​ Estos son esencialmente los defectos de las ampollas que surgen después de un número suficiente de exposiciones con mascarillas UVE en el ambiente de hidrógeno.

Límites de escala de rendimiento

La resolución de la litografía UVE para el futuro enfrenta desafíos para mantener el rendimiento, es decir, cuántas obleas son procesadas por una herramienta UVE por día. Estos desafíos surgen de campos más pequeños, espejos adicionales y ruido de disparo. Para mantener el rendimiento, la potencia en el enfoque intermedio (IF) debe aumentarse continuamente.

Campos reducidos

 
Reducción del tamaño del campo por desmagnificación. El aumento de la desmagnificación de 4X a 8X en una dimensión dividiría el campo de imagen completo original en dos partes para preservar la misma área de matriz (26 mm × 33 mm).
 
Costura en el campo. La combinación de campos de exposición es una preocupación donde las características críticas cruzan un límite de campo (línea de puntos roja).

La preparación de una lente anamórfica con una NA entre 0,5 y 0,6 está en marcha a partir de 2016. La desmagnificación será 8X en una dimensión y 4X en la otra, y el ángulo de reflexión aumentará.[187]

Una mayor desmagnificación aumentará el tamaño de la máscara o reducirá el tamaño del campo impreso. El tamaño reducido del campo dividiría los patrones de chips de tamaño completo (que normalmente ocupan 26 mm x 33 mm) entre dos o más máscaras de UVE convencionales de 6 pulgadas. Fichas grandes (que se acercan o superan los 500 mm²), generalmente utilizadas para GPU[188]​ o servidores,[189]​ tendrían que ser cosidos juntos de dos o más sub-patrones de diferentes máscaras.[190]​ Sin costuras de campo, el tamaño del troquel sería limitado. Con la costura de campo, las características que cruzan los límites del campo tendrían errores de alineación, y el tiempo adicional requerido para cambiar las máscaras reduciría el rendimiento del sistema UVE.[191]

Ruido de disparo: el límite de resolución estadística.

 
Ruido de disparo causando variaciones significativas de CD. Un conjunto de agujeros con un patrón de paso de 64 nm muestra los efectos significativos del ruido de disparo con una dosis de UVE de poco más de 10 mJ/cm2. Una dosis mayor resultaría en el mismo rango de variación en un tamaño de muestra mayor.

Con la distribución de Poisson natural debido a los tiempos aleatorios de llegada y absorción de los fotones,[192][193]​ hay una variación de la dosis natural esperada (número de fotones) de al menos varios 3 sigma, lo que hace que el proceso de exposición sea susceptible a variaciones estocásticas. La variación de la dosis conduce a una variación de la posición del borde de la característica, convirtiéndose efectivamente en un componente borroso. A diferencia del límite de resolución rígido impuesto por la difracción, el ruido de disparo impone un límite más suave, siendo la pauta principal la especificación de rugosidad de ancho de línea (LWR) ITRS del 8% (3s) del ancho de línea.[194]​ Aumentar la dosis reducirá el ruido de disparo,[195]​ pero esto también requiere una mayor fuente de energía.

Una región característica de asistencia de 10 nm de ancho, 10 nm de largo, a una dosis no imprimible de 15 mJ/cm2, con un 10% de absorción, se define por poco más de 100 fotones, lo que conduce a un ruido de 6s del 59%, que corresponde a un rango de dosis estocástico de 6 a 24 mJ/cm2, que podría afectar la capacidad de impresión.

Un estudio realizado en 2017 por Intel mostró que para las vías semiaisladas (cuyo disco Airy puede ser aproximado por un gaussiano), la sensibilidad del CD a la dosis fue particularmente fuerte,[196]​ lo suficientemente fuerte como para que una reducción de la dosis pudiera llevar no linealmente a no imprimir la vía.

Dosis mínima para restringir el ruido de disparo para las áreas de tolerancia de variación de proceso:

Ancho de tolerancia Área de tolerancia Dosis para 3s=7% de ruido (1800 fotones UVE absorbidos, 33% de absorción)
4 nm 16 nm² 496 mJ/cm²
2 nm 4 nm² 1980 mJ/cm²

Un área de tolerancia de variación de proceso es la región más grande sobre la cual se permite la variación de proceso.

Los dos problemas del ruido de disparo y los electrones liberados por UVE señalan dos factores limitantes: 1) mantener la dosis lo suficientemente alta para reducir el ruido de disparo a niveles tolerables, pero también 2) evitar una dosis demasiado alta debido a la mayor contribución de los fotoelectrones liberados por UVE y los electrones secundarios resisten el proceso de exposición, lo que aumenta el desenfoque del borde y, por lo tanto, limita la resolución. Aparte del impacto de la resolución, una dosis más alta también aumenta la desgasificación[197]​ y limita el rendimiento, y la reticulación[198]​ Ocurre en dosis muy altas. Para resistencias químicamente amplificadas, una mayor exposición a dosis también aumenta la rugosidad del borde de la línea debido a la descomposición del generador de ácido.[199]

Como se mencionó anteriormente, una resistencia más absorbente en realidad conduce a una uniformidad de dosis vertical menor. Esto también significa que el ruido de disparo es peor hacia la parte inferior de una capa de resistencia UVE altamente absorbente.

Incluso con una mayor absorción, UVE tiene un problema de ruido de disparo mayor que la longitud de onda de ArF (193 nm), principalmente porque se aplica a dimensiones más pequeñas y los objetivos de dosis actuales son más bajos debido a los niveles de potencia de fuente disponibles actualmente.

Longitud de onda Tipo de resistencia Absorbencia Espesor Absorción Dosis objetivo Dosis de fotones absorbidos
ArF (193 nm) CAR 1,2/μm[200] 0,08 μm 9% 30 mJ/cm²[201] 27 fotones/nm²
UVE (13,5 nm) CAR 5/μm[202] 0,05 μm 22% 30 mJ/cm²[203] 4,5 fotones/nm²
UVE (13,5 nm) Óxido metálico 20/μm[202] 0,02 μm 33% 30 mJ/cm²[203] 7 fotones/nm²

Como se puede ver arriba, a los niveles de dosis objetivo objetivo, se absorben significativamente menos fotones de UVE en resistencias de UVE en comparación con fotones de ArF en resistencias de ArF. A pesar de la mayor transparencia de la resistencia, el flujo de fotones incidente es aproximadamente 14 veces mayor (193/13,5) para la misma dosis de energía por unidad de área. El grosor de la resistencia está limitado por la transparencia y también por el colapso de la resistencia[204]​ y resistir la tira[205]​ consideraciones.

Uptime y productividad

El rendimiento actual en el sitio del cliente es de 1200 obleas por día con 80% de disponibilidad,[206]​ mientras que las herramientas convencionales producen 5000 obleas por día con un 95% de disponibilidad.[207]​ A partir de 2017, el costo de un proceso de 7 nm con 3 capas metálicas modeladas por una sola exposición a UVE sigue siendo un 20% más alto que el proceso actual sin UVE de 10 nm.[208]​ Por lo tanto, se han implementado múltiples patrones con litografía de inmersión para la fabricación en volumen, mientras que se espera el despliegue de UVE en 2018-2020.

Historial de despliegue

El despliegue de LUVE para la fabricación en volumen se ha retrasado durante una década,[209][210]​ aunque los pronósticos para el despliegue tenían plazos de 2 a 5 años. El despliegue fue el objetivo en 2007 (5 años después de haberse realizado el pronóstico en 2002),[209]​ en 2009 (5 años después del pronóstico), en 2012–2013 (3–4 años), en 2013–2015 (2–4 años),[211][212]​ en 2016–2017 (2–3 años),[213]​ y en 2018-2020 (2–4 años después de las previsiones).[214]​ Sin embargo, el despliegue podría retrasarse aún más.[215]

Los envíos del sistema NXE:3350 comenzaron a fines de 2015, con un rendimiento declarado de 1250 obleas/día o 65 obleas por hora (WPH), suponiendo un tiempo de actividad del 80%.[216][217]​ En comparación, la base instalada de 300 unidades de los sistemas de inmersión NXT 193-nm tenía una disponibilidad del 96% y 275 WPH en 2015.[218][219]

Año WPH pronóstico WPH Disponibilidad Pronóstico disp.
2014 55[220] 70[221] 50%[220]
2015 55[222] 75;[220]​ 125[221] 70%[223] 70%[220]
2016 85[223] 125[221] 80%[223] 80%[220]
2017 125[223] 85%[223]
2018 140[223] 90%[223]

En 2010-2016 se enviaron veinte unidades de UVE, menos del número que se requeriría para la fabricación en volumen. En comparación, ASML envió más de 60 sistemas de inmersión NXT 193-nm en 2016 y pronostica que se enviarán 48 unidades UVE en 2019.[224][225]​ Seis NXE: 3100 unidades fueron enviadas en 2010–2011.[226]​ Ocho unidades NXE: 3300B se enviaron en 2013Q3–2015Q1,[219]​ menos que el pronóstico de 11 unidades.[227]​ Dos unidades NXE: 3350B fueron enviadas a finales de 2015,[218]​ en comparación con un pronóstico de seis unidades.[219]​ Se enviaron cuatro unidades en 2016, en comparación con un pronóstico de seis o siete unidades desde el inicio del año.[228]

A partir de 2016, se pronosticaron 12 unidades para enviar en 2017,[228]​ y 24 unidades en 2018.[224]​ Sin embargo, el pronóstico de envío para 2017 se redujo a la mitad a principios de año a seis o siete unidades.[229]​ Está previsto que el NXE: 3350B se suspenda para 2017, para ser reemplazado por el NXE: 3400B. En el momento del envío del primer NXE: 3400B,[230]​ ocho sistemas NXE: 3300B y seis sistemas NXE: 3350B estaban funcionando en el campo.[231]

Un total de diez sistemas NXE3400B fueron enviados en 2017.[232]​ En el primer trimestre de 2018, se enviaron tres sistemas UVE.[233]​ En el Q2 2018, se enviaron 4 más.[234]

Problemas en curso para mejorar

Se anunció la introducción de NXE: 3400C en 2019, incluidas características que se enfocaron en mejorar significativamente el tiempo de actividad, como un diseño modular para un cambio más rápido, un suministro continuo de estaño y un mejor control de la degradación del colector.[235]​ Sin embargo, las mejoras de aberración aún no se han implementado, ya que las aberraciones deben medirse directamente in situ primero.[236]

Usar con patrones múltiples

 
Distribución del diseño de la UVE debido a diferentes iluminaciones. Este diseño consta de líneas verticales densas y líneas horizontales dispersas que requieren dos iluminaciones diferentes optimizadas para cada una. En consecuencia, sería necesario dividirlo, incluso para la litografía UVE.

Se anticipa que UVE usará un patrón doble por debajo de 34 nm de inclinación.[237]​ Esta resolución es equivalente a '1Y' para DRAM.[238][239]​ En el H2 2018, TSMC confirmó que su esquema UVE de 5 nm todavía usaba patrones múltiples,[240]​ también indica que el recuento de máscaras no disminuyó de su nodo de 7 nm, que usó un extenso modelado múltiple de DUV, a su nodo de 5 nm, que usó una UVE extensa.[241]​ Los proveedores de EDA también indicaron el uso continuo de flujos de múltiples patrones.[242][243]​ Mientras que Samsung introdujo su propio proceso de 7 nm con un solo patrón UVE,[244]​ encontró un ruido intenso de disparo de fotones que causó una excesiva rugosidad de la línea, lo que requirió una dosis más alta, lo que resultó en un menor rendimiento.[192]​ El nodo de 5 nm de TSMC usa reglas de diseño aún más estrictas.[245]Samsung indicó que las dimensiones más pequeñas tendrían un ruido de disparo más severo.[192]

En el esquema de litografía complementaria de Intel a medio paso de 20 nm, UVE se usaría solo en una segunda exposición de corte de línea después de una primera exposición de impresión de línea de 193 nm.[246]

También se esperarán múltiples exposiciones donde dos o más patrones en la misma capa, por ejemplo, diferentes tonos o anchos, deben usar diferentes formas de pupilas de fuente optimizadas.[247][248][249][250]​ Por ejemplo, cuando se considera una matriz de barras escalonadas de paso vertical de 64 nm, cambiar el tono horizontal de 64 a 90 nm cambia la iluminación optimizada significativamente.[31]​ La optimización de la máscara de origen que se basa en las rejillas de espacio de línea y las rejillas de punta a punta solo no implica mejoras para todas las partes de un patrón lógico, por ejemplo, una zanja densa con un hueco en un lado.[251][252]

Para el paso metálico de 24-36 nm, se encontró que el uso de UVE como (segunda) exposición de corte tenía una ventana de proceso significativamente más amplia que como una exposición individual completa para la capa de metal.[253]

También se esperan múltiples exposiciones de la misma máscara para el manejo de defectos sin películas, lo que limita la productividad de manera similar a los patrones múltiples.[186]

Extensión de un solo patrón: Anamórfico Alto-NA

Un retorno a generaciones extendidas de patrones de exposición única sería posible con herramientas de apertura numérica (NA) más altas. Una NA de 0,45 utilizando una longitud de onda de 13,5 nm podría requerir un reajuste de un pequeño porcentaje.[254]​ El aumento de la desmagnificación podría evitar este reajuste, pero el tamaño reducido del campo afecta severamente a los patrones grandes (un troquel por campo de 26 mm x 33 mm), como los chips Xeon de 14 nm de varios núcleos con transistores de 14 nm,[255]​ que requieren costura de campo.

En 2015, ASML reveló detalles de su escáner anamórfico UVE de próxima generación (longitud de onda de 13,5 nm), con un NA de 0,55. La desmagnificación se incrementa de 4x a 8x solo en una dirección (en el plano de incidencia).[256]​ Sin embargo, el 0,55 NA tiene una profundidad de enfoque mucho menor que la litografía de inmersión.[257]​ Además, se ha encontrado que una herramienta anamórfica 0,52 NA exhibe demasiada variabilidad de colocación y CD para la exposición única de nodos de 5 nm y el corte de patrones múltiples.[258]

La reducción de la profundidad[259]​ de enfoque al aumentar la NA también es una preocupación,[260]​ especialmente en comparación con las exposiciones multipatrónicas que utilizan litografía de inmersión de 193 nm:

longitud de onda índice de refracción NA PDE (normalizada)
193 nm 1,44 1,35 1
13,5 nm 1 0,33 1,17
13,5 nm 1 0,55 0,4

Las primeras herramientas de alta NA se esperan para 2020 como muy pronto.[261]

Más allá de la longitud de onda UVE

Una longitud de onda mucho más corta (~6,7 nm) estaría más allá de la UVE, y a menudo se la denomina BEUV (beyond extreme ultraviolet, "más allá del ultravioleta extremo"). Una longitud de onda más corta tendría peores efectos de ruido de disparo sin garantizar una dosis suficiente.[262]

Referencias

  1. «La semana en resumen: Fabricación». 
  2. «GloFo busca liderazgo de 7nm». 25 de mayo de 2016. 
  3. «SemiWiki.com - Samsung 10nm and 7nm Strategy Explained!». www.semiwiki.com. 
  4. «TSMC comenzará la producción de 10nm este año, reclama 5nm para 2020 - ExtremeTech». 20 de enero de 2016. 
  5. «ASML inicia NXE: envío 3400C, pero las restricciones de suministro se ciernen». 17 de octubre de 2019. 
  6. ASML Q2 2013 report.
  7. Singer, Pete (28 de enero de 2020). «ISS: Perspectivas 2020 para UVE». Semiconductor Digest (en inglés estadounidense). Consultado el 16 de mayo de 2020. 
  8. «Los costos de la herramienta UVE alcanzan los $120 millones». EETimes. 19 de noviembre de 2010. 
  9. «Los principales fabricantes de chips observan la litografía EUV para salvar la ley de Moore». IEEE Spectrum. 31 de octubre de 2016.  "The list price of ASML’s newest EUV machine exceeds €100 million"
  10. «Why EUV Is So Difficult». Semiconductor Engineering (en inglés estadounidense). 17 de noviembre de 2016. Consultado el 16 de mayo de 2020. 
  11. «Limpieza de colectores EUV». 
  12. H. Komori et al., Proc. SPIE 5374, pp. 839–846 (2004).
  13. B. A. M. Hansson et al., Proc. SPIE 4688, pp. 102–109 (2002).
  14. S. N. Srivastava et al., J. Appl. Phys.' 102, 023301 (2007).
  15. . Archivado desde el original el 10 de julio de 2015. Consultado el 1 de febrero de 2019. 
  16. H. Mizoguchi, "Actualización de Gigaphoton de fuente de luz EUV de plasma producida por láser," Taller de fuente de EUVL, 12 de mayo de 2008.
  17. «ASML heeft nieuwe megahal hard nodig». 
  18. Akira Endo, Hideo Hoshino, Takashi Suganuma, Masato Moriya, Tatsuya Ariga, Yoshifumi Ueno, Masaki Nakano, Takeshi Asayama, Tamotsu Abe, Hiroshi Komori, Georg Soumagne, Hakaru Mizoguchi, Akira Sumitani and Koichi Toyoda. «Laser Produced EUV Light Source Development for HVM». EUVA (Extreme Ultraviolet Lithography System Development Association). 
  19. Ted Cacouris, Greg Rechtsteiner, Will Conley. «Next-generation DUV light source technologies for 10nm and below». Cymer LLC, 17075 Thornmint Court, San Diego, CA 92127. 
  20. Dr. Sascha Migura, Carl Zeiss. «Optics for EUV Lithography». SMT GmbH, Oberkochen, Germany. 
  21. Renzo Capelli, Anthony Garetto, Krister Magnusson, Thomas Scherübl. «Scanner arc illumination and impact on EUV photomasks and scanner imaging». Carl-Zeiss-Promenade 10, 07745 Jena, Germany. 
  22. Y. Wang and Y. Liu, Proc. SPIE 9283, 928314 (2014).
  23. R. Capelli et al., Proc. SPIE 9231, 923109 (2014).
  24. Schmoeller, Thomas; Klimpel, T; Kim, I; F. Lorusso, G; Myers, A; Jonckheere, Rik; Goethals, Anne-Marie; Ronse, K (14 de marzo de 2008). «EUV pattern shift compensation strategies - art. no. 69211B». Proceedings of SPIE - The International Society for Optical Engineering 6921. doi:10.1117/12.772640 – via ResearchGate. 
  25. Tao, Y. (2005). «Characterization of density profile of laser-produced Sn plasma for 13,5 nm extreme ultraviolet source». Appl. Phys. Lett. 86 (20): 201501. doi:10.1063/1.1931825. 
  26. T. Kagawa, K. Nishihara, A.Sasaki, F. Koike. «Theoretical Simulation for Spectra Emitted from Sn and Xe ions as an EUV Light Source». Department of Physics, Nara Women’s University, Nara 630-8506, Japan. 
  27. I. Fomenkov et al., Adv. Opt. Tech. 6, 173 (2017).
  28. Igor Fomenkov. «EUV Source for High Volume Manufacturing: Performance at 250 W and». 2017 Source Workshop, Dublin, Ireland. 
  29. R. Rokitski et al., Proc. SPIE 7640, 76401Q (2010).
  30. M. van de Kerkhof et al., Proc. SPIE 10143, 101430D (2017).
  31. Y. Chen et al., J.Vac. Sci. Tech. B35, 06G601 (2017).
  32. Dr. Hakaru Mizoguchi, Hiroaki Nakarai, Tamotsu Abe, Krzysztof M Nowak, Yasufumi Kawasuji, Hiroshi Tanaka, Yukio Watanabe, Tsukasa Hori, Takeshi Kodama, Yutaka Shiraishi, Tatsuya Yanagida, Georg Soumagne, Tsuyoshi Yamada, Taku Yamazaki and Takashi Saitou. «High power lpp-euv source with long collector mirror lifetime for high volume semiconductor manufacturing». Hiratsuka facility: 3-25-1 Shinomiya Hiratsuka Kanagawa,254-8567, JAPAN.
  33. Martin A. van den Brink, Hans Jasper, Steve D. Slonaker, Peter Wijnhoven, Frans Klaassen. «Step-and-scan and step-and-repeat: a technology comparison». Proceedings Volume 2726, Optical Microlithography IX; (1996) https://doi.org/10.1117/12.240936 Event: SPIE's 1996 International Symposium on Microlithography, 1996, Santa Clara, CA, United States. 
  34. Paetzel, R. (2003). «Láseres excímeros para litografía de alta resolución NA 193 nm». Proc. SPIE. Optical Microlithography XVI 5040: 1665. doi:10.1117/12.485344. 
  35. Harilal, S. S. (2006). «Control espectral de las emisiones de los objetivos dopados con estaño para la litografía ultravioleta extrema». J. Phys. D 39 (3): 484-487. doi:10.1088/0022-3727/39/3/010. 
  36. T. Asayama et al., Proc. SPIE vol. 8683, 86831G (2013).
  37. Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many other people of ASML and Cymer. «EUV lithography: status, future requirements and challenges». EUVL Dublin. 
  38. P. De Bisschop, “Stochastic effects in EUV lithography: random, local CD variability, and printing failures”, J. Micro/Nanolith. MEMS MOEMS 16(4), 041013 (2017).
  39. P. De Bisschop and E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  40. A. Narasimhan et al., Proc. SPIE 9422, 942208 (2015).
  41. N. Felix et al., Proc. SPIE 9776, 97761O (2015).
  42. S. Bhattarai, Phesis Thesis, "Estudio de la rugosidad del borde de línea e interacciones de electrones secundarios en fotorresistencias para litografía de EUV," U. Calif. Berkeley, 2017.
  43. S. Larivière et al., Proc. SPIE 10583, 105830U (2018).
  44. J. Heo et al., Opt. Exp. 25, 4621 (2017).
  45. . Torok et al., "Electrones secundarios en litografía EUV", J. Photopol. Sci. and Tech., 26, 625 (2013).
  46. K. Ishii and T. Matsuda, Jpn. J. Appl. Phys. 29, 2212 (1990).
  47. A. Thete et al., Proc. SPIE 9422, 94220A (2015).
  48. B. tésis solar, p. 34
  49. . Archivado desde el original el 21 de octubre de 2017. Consultado el 1 de febrero de 2019. 
  50. L. Peters, "Doble patrón conduce la carrera para 32 nm ", Semiconductor International, 18 de octubre de 2007.
  51. M. Sugawara et al., J. Vac. Sci. Tech. B 21, 2701 (2003).
  52. Proceedings. doi:10.1117/12.484986.pdf. 
  53. G. McIntyre et al., Proc. SPIE vol. 7271, 72711C (2009).
  54. T. Last et al., Proc. SPIE 9985, 99850W (2016).
  55. T. Last et al., Proc. SPIE vol. 10143, 1014311 (2017).
  56. W. Gao et al., Proc. SPIE vol. 10143, 101430I (2017).
  57. . Archivado desde el original el 26 de junio de 2015. Consultado el 1 de febrero de 2019. 
  58. T. Schmoeller et al., Proc. SPIE vol. 6921, 69211B (2008).
  59. P. Liu et al., Proc. SPIE vol. 8679, 86790W (2013).
  60. M. Sugawara et al., Proc. SPIE 9048, 90480V (2014).
  61. X. Chen et al., Proc. SPIE 10143, 101431F (2017).
  62. X. Chen et al., Proc. SPIE vol. 10143, 101431F (2017).
  63. . asml.com. Archivado desde el original el 15 de diciembre de 2018. Consultado el 1 de febrero de 2019. 
  64. X. Liu et al., Proc. SPIE vol. 9048, 90480Q (2014).
  65. O. Wood et al., Proc. SPIE 10450, 1045008 (2017).
  66. S. Yoshitake et al., EUV Requisitos de planitud de la máscara: E-beam Máscara Escritor Perspectiva del proveedor.
  67. C. S. Choi et al., Proc. SPIE 9235, 92351R (2014).
  68. http://www.lithoguru.com/textbook/Chapter10_Figures.ppt, p. 37.
  69. C. A. Mack, Microlith. World, 9-4, 25 (2000)
  70. J. S. Petersen et al., Proc. SPIE 3546, 288 (1998).
  71. . Archivado desde el original el 5 de febrero de 2017. Consultado el 1 de febrero de 2019. 
  72. [1]
  73. L. Yuan et al., Proc. SPIE 8322, 832229 (2012).
  74. «Estudio de la rugosidad del borde de la línea e interacciones de los electrones secundarios en las fotorresistencias para litografía EUV | EECS en UC Berkeley». 
  75. Anderson, Christopher; Daggett, Joe; Naulleau, Patrick (31 de diciembre de 2009). «Corner rounding in EUV photoresist: tuning through molecular weight, PAG size, and development time» – via www.osti.gov. 
  76. E. van Setten et al., Proc. SPIE 9661, 96610G (2015).
  77. E. van Setten et al., Intl. Symp. on EUV Lithography, 2014.
  78. V. M. Blanco Carballo et al., Proc. SPIE 10143, 1014318 (2017).
  79. E. van Setten et al., Proc. SPIE 9231, 923108 (2014).
  80. K. van Ingen Schenau, 2013 EUVL Symposium.
  81. «SemiWiki.com - SEMICON West - Advanced Interconnect Challenges». www.semiwiki.com. 
  82. «SemiWiki.com - Exclusivo - GLOBALFOUNDRIES revela el detalle del proceso de 7nm». www.semiwiki.com. 
  83. L. T. Clark et al., Microelec. Journ. 53, 105 (2016).
  84. . Archivado desde el original el 30 de agosto de 2017. Consultado el 1 de febrero de 2019. 
  85. T-S. Eom et al., Proc. SPIE 8679, 86791J (2013).
  86. K. A. Goldberg et al., Proc. SPIE 5900, 59000G (2005).
  87. Y. Liu and Y. Li, Opt. Eng. 55, 095108 (2016).
  88. R., Saathof, (1 de diciembre de 2018). Adaptive Optics to Counteract Thermal Aberrations: System Design for EUV-Lithography with Sub-nm Precision. 
  89. T. S. Jota and R. A. Chipman, Proc. SPIE 9776, 977617 (2016).
  90. «El director de Mentor Graphics detalla los desafíos para el control de la colocación de bordes en 2020». nikonereview.com. 
  91. M. Habets et al., Proc. SPIE 9776, 97762D (2016).
  92. M. Bayraktar et al., Opt. Exp. 22, 30623 (2014).
  93. F. Jiang et al., Proc. SPIE vol. 9422, 94220U (2015).
  94. I. Mochi et al., Proc. SPIE 9776, 97761S (2015).
  95. «Entendiendo el ruido de disparo de EUV». 
  96. D. Civay et al., Proc. SPIE 9048, 90483D (2014).
  97. T. Last et al., J. Micro/Nanolith. MEMS MOEMS 15, 043508 (2016).
  98. A-Y. Je et al., Proc. SPIE 7823, 78230Z (2010).
  99. T. Huynh-Bao et al., Proc. SPIE 9781, 978102 (2016).
  100. V. Philipsen et al., Proc. SPIE 9235, 92350J (2014).
  101. W. Gillijns et al., Proc. SPIE 10143, 1014314 (2017).
  102. R. Capelli et al., Proc. SPIE 9231, 923109 (2014).
  103. Y-G Wang et al., Proc. SPIE 10143, 1014320 (2017).
  104. US Patent 9715170.
  105. S. Nagahara et al., Proc. SPIE 7640, 76401H (2010).
  106. L. Pang et al., Proc. SPIE 7520, 75200X (2009).
  107. Hsu, Stephen D.; Liu, Jingjing (1 de enero de 2017). «Challenges of anamorphic high-NA lithography and mask making». Advanced Optical Technologies 6 (3-4). doi:10.1515/aot-2017-0024. 
  108. . Archivado desde el original el 5 de agosto de 2017. Consultado el 1 de febrero de 2019. 
  109. http://slideplayer.com/slide/6214703/20/images/31/Image+formation+Number+of+diffracted+orders.jpg
  110. C. Krautschik et al., Proc. SPIE 4343, 392 (2001).
  111. A. Erdmann, P. Evanschitzky, and T. Fuhner, Proc. SPIE 7271, 72711E (2009).
  112. A. Erdmann et al., J. Micro/Nanolith. MEMS MOEMS 15, 021205 (2016).
  113. M. Burkhardt and A. Raghunathan, Proc. SPIE 9422, 94220X (2015).
  114. Z. Zhu et al., Proc. SPIE 5037, 494 (2003)
  115. V. Philipsen et al., Proc. SPIE 10143, 1014310 (2017).
  116. «Download Limit Exceeded». citeseerx.ist.psu.edu. 
  117. A. Erdmann et al., J. Micro/Nanolith. MEMS MOEMS 15(2), 021205 (2016).
  118. L. Liebmann et al. Proc. SPIE 10148, 101480F (2017).
  119. V. Phiipsen et al., Proc. SPIE 10143, 104310(2017).
  120. C-H. Chang et al., Proc. SPIE 5377, 902 (2004).
  121. T. Devoivre et al., MTDT 2002.
  122. L. C. Choo et al., Proc. SPIE vol. 4000, 1193 (2000).
  123. J. Word and K. Sakajiri, Proc. SPIE 6156, 61561I (2006).
  124. T. Winkler et al., Prod. SPIE 5754, 1169 (2004).
  125. Y. Borodovsky et al., Proc. SPIE 4754, 1 (2002).
  126. S-S. Yu et al., Proc. SPIE 8679, 86791L (2013).
  127. A. Erdmann et al., Proc. SPIE 10583, 1058312 (2018).
  128. «Análisis de modo propio de campos EM en máscaras de UVE.». 
  129. «Máscara de desplazamiento de fase grabada con UVE de eficiencia ultra-alta». 
  130. B. L. Henke et al., J. Appl. Phys. 48, pp. 1852–1866 (1977).
  131. «Taller Internacional CNSE 2013 sobre Litografía EUV». 
  132. J. Torok et al., J. Photopolymer Sci. & Tech., 27, 611 (2014).
  133. Y. Kandel et al., Proc. SPIE 10143, 101430B (2017).
  134. D. D. Simone et al., Proc. SPIE 10143, 101430R (2017).
  135. A. Thete et al., Phys. Rev. Lett. 266803 (2017).
  136. L. Wisehart et al., Proc. SPIE 9776, 97762O (2016).
  137. «Campo Eléctrico, Hojas Planas de Carga.». hyperphysics.phy-astr.gsu.edu. 
  138. P. de Schepper et al., Proc. SPIE 9425, 942507 (2015).
  139. M. Dapor, M. Ciappa, and W. Fichtner, J. Micro/Nanolith. MEMS MOEMS 9, 023001 (2010).
  140. Z. G. Song et al., J. Phys. D: Appl. Phys. 30, 1561 (1997).
  141. J. M. Roberts et al., Proc. SPIE 7273, 72731W (2009).
  142. G. Denbeaux et al., 2007 European Mask and Lithography Conference.
  143. I. Pollentier et al., Proc. SPIE vol. 7972, 797208 (2011).
  144. J. Y. Park et al., J. Vac. Sci. Tech. B29, 041602 (2011).
  145. J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 118–130 (2006).
  146. H atom based tin cleaning
  147. . www.physics.rutgers.edu. Archivado desde el original el 20 de diciembre de 2016. Consultado el 1 de febrero de 2019. 
  148. «Update of Resist Outgas Testing at EIDEC». 
  149. T. Van de Ven et al., J. Appl. Phys. 123, 063301 (2018).
  150. e.g., The Denitridation of Nitrides Under Hydrogen
  151. C. G. van de Walle and B. Tuttle, THEORY OF HYDROGEN INTERACTIONS WITH AMORPHOUS SILICON in Amorphous and Heterogeneous Silicon Thin Films — Fundamentals to Devices, edited by H. M. Branz, R. W. Collins, H. Okamoto, S. Guha, and B. Schropp, MRS Symposia Proceedings, Vol. 557 (MRS, Pittsburgh, Pennsylvania, 1999), p. 255.
  152. T. Tanabe, Y. Yamanishi, and S. Imoto, J. Nucl. Mat. 191-194, 439 (1992).
  153. D. T. Elg et al., J. Vac. Sci. Tech. A 34, 021305 (2016).
  154. Ampollas inducidas por el hidrógeno en multicapas de película delgada
  155. I-Y. Jang et al., Proc. SPIE 9256, 92560I (2014)
  156. Hydrogen penetration of Ru and Pd/Ru
  157. Pantisano, L; Schram, Tom; Li, Z; Lisoni, Judit; Pourtois, Geoffrey; De Gendt, Stefan; P. Brunco, D; Akheyar, A; Afanas'ev, V.V.; Shamuilia, Sheron; Stesmans, A (12 de junio de 2006). «Ruthenium gate electrodes on SiO2 and HfO2: Sensitivity to hydrogen and oxygen ambients». Applied Physics Letters - APPL PHYS LETT 88. doi:10.1063/1.2212288 – via ResearchGate. 
  158. Hydrogen penetration of boron carbide
  159. S-S. Kim et al., Proc. SPIE 10143, 1014306 (2017).
  160. Cribado de capas de recubrimiento de resistencia a la oxidación
  161. B. Thedjoisworo et al., J. Vac. Sci. Tech. A 30, 031303 (2012).
  162. Plasma de hidrógeno para la fotoprotección
  163. «Resistencia al óxido de metal». 
  164. Thedjoisworo, Bayu; Cheung, David; Crist, Vince (2013). «Comparación de los efectos de los plasmas basados en H2 y O2 en la eliminación de la fotoprotección, el silicio y el nitruro de silicio». Diario de Ciencia y Tecnología de Vacío B, Nanotecnología y Microelectrónica: Materiales, Procesamiento, Medición y Fenómenos 31 (2): 021206. ISSN 2166-2746. doi:10.1116/1.4792254. 
  165. «Ponerse al día con los requisitos de la hoja de ruta para la litografía con rayos UV extremos». spie.org. 
  166. «Métodos rápidos de simulación para la fase no plana y los defectos de múltiples capas en las fotomáscaras de litografía y DUV y EUV». berkeley.edu. 
  167. H. Yu et al., J. Vac. Sci. Tech. A31, 021403 (2013).
  168. S. Huh et al., Proc. SPIE 7271 (2009).
  169. K. Seki et al., Proc. SPIE 9658, 96580G (2015).
  170. A. Garetto et al., J. Micro/Nanolith. MEMS MOEMS 13, 043006 (2014).
  171. M. Muller et al., Appl. Phys. A vol. 108, 263 (2012).
  172. «2016 EUV Mask Pellicle TWG update». 
  173. «EUVL activities in South Korea (including Samsung and SKHynix)». 
  174. I-S. Kim et al., Proc. SPIE vol. 8322, 83222X (2012).
  175. C. Zoldeski et al., Proc. SPIE vol. 9048, 90481N (2014).
  176. D. L. Goldfarb, Dec. 2015 BACUS Newsletter.
  177. «EUV Pellicle, Uptime And Resist Issues Continue». 
  178. A. Gao et al., J. Appl. Phys. 114, 044313 (2013).
  179. E. Gallagher et al., Proc. SPIE vol. 9635, 96350X (2015).
  180. C. Ghica et al., Rom. Rep. in Phys., vol. 62, 329-340 (2010).
  181. L. Juan et al., Chin. Phys. B, vol., 22, 105101 (2013).
  182. I. Pollentier et al., Proc. SPIE vol. 10143, 101430L (2017).
  183. H. Oizumi et al., Proc. SPIE vol. 5751, 1147 (2005).
  184. K. Motai et al., Proc. SPIE vol. 6517, 65170F (2007).
  185. Y. Nagaoka and J. Miyazaki, Proc. SPIE vol. 9635, 963510 (2015).
  186. H. J. Levinson and T. A. Brunner, Proc. SPIE 10809, 1080903 (2018).
  187. «5nm Fab Challenges». 20 de enero de 2016. «ASML is developing an anamorphic lens for EUV. The two-axis EUV lens would support 8x magnification in the scan mode and 4x in the other direction. It would support 0.5 to 0.6 NAs. … The EUV scanner could take a throughput hit. It would expose the wafer at only half the field size, as opposed to full field sizes with today’s EUV scanners.» 
  188. Hilbert Hagedoorn. «GeForce GTX 780 review». Guru3D.com. 
  189. Intel Xeon E5-2600 v3
  190. J. T. Neumann et al., Proc. SPIE vol. 8522, 852211 (2012).
  191. K. Takehisa, Proc. SPIE vol. 8701, 87010T (2013).
  192. H-W Kim et al., Proc. SPIE 7636, 76360Q (2010).
  193. S-M. Kim et al., Proc. SPIE 9422, 94220M (2015).
  194. B. Baylav, "Reducción de la rugosidad del borde de la línea (LER) en la litografía de campo grande tipo interferencia", PhD dissertation, p. 37, 2014.
  195. Z-Y. Pan et al., Proc. SPIE 6924, 69241K (2008).
  196. R. L. Bristol and M. E. Krysak, Proc. SPIE 10143, 101430Z (2017).
  197. «2013 Nissan Chemical Industries, 2013 International Workshop on EUV Lithography». 
  198. T. G. Oyama et al., Appl. Phys. Exp. 7, 036501 (2014).
  199. T. Kozawa, Jpn. J. Appl. Phys. 51, 06FC01 (2012).
  200. «Photoresist ABCs». 
  201. . www.asml.com. Archivado desde el original el 5 de diciembre de 2018. Consultado el 2 de febrero de 2019. 
  202. «EUV Photoresists». 
  203. «ASML 2016 EUVL Workshop». 
  204. . Archivado desde el original el 27 de agosto de 2017. Consultado el 2 de febrero de 2019. 
  205. «Metal oxide resist strip». 
  206. 2016 EUV source workshop, ASML update.
  207. Tartwijk, Stu Woo and Maarten van. «Can This Little-Known Chip Company Preserve Moore’s Law?». 
  208. V. M. Blanco Carballo et al., Proc. SPIE 10143, 1014318 (2017).
  209. [2]
  210. . Archivado desde el 24 de julio de 2016. Consultado el 2 de febrero de 2019. 
  211. Myslewski, Rik (25 de febrero de 2014). «First 'production-ready' EUV scanner laser-fries its guts at TSMC. Intel seeks alternative tech». The Register (en inglés). 
  212. McGrath, Dyaln (22 de noviembre de 2011). «Issues of EUV lithography». EE Times Asia (en inglés). 
  213. «TSMC orders production EUV systems». Optics.org (en inglés). 24 de noviembre de 2014. 
  214. «ASML boosted by extreme UV orders». Optics.org (en inglés). 20 de julio de 2016. 
  215. Vogler, Debra (24 de mayo de 2016). . Semi (en inglés). Archivado desde el original el 15 de agosto de 2016. 
  216. «ASML Has Record Revenue for 2015; Will Raise Dividend, Buy Back More Stock | Semiconductor Manufacturing & Design Community». 
  217. Tiernan Ray. «ASML Gets a Lift From TSM, Though Questions on EUV Remain». Barrons. 
  218. «ASML 2015 Cuarto trimestre y resultados anuales 2015». 
  219. «Resultados del segundo trimestre de ASML 2015». 
  220. Frits van Hout (24 de noviembre de 2014). «EUV». ASML. Consultado el 14 de diciembre de 2016. 
  221. ASML. «ASML reports 2013 results». US Securities and Exchange Commission. Consultado el 16 de julio de 2014. «We remain on target to deliver EUV systems with a throughput of 70 wafers per hour in 2014, upgradeable to 125 wafers per hour in 2015.» 
  222. «ASML 2015 Third Quarter Results». 
  223. Hans Meiling (31 de octubre de 2016). . ASML. Archivado desde el original el 20 de diciembre de 2016. Consultado el 15 de diciembre de 2016. 
  224. «ASML reafirma las expectativas litográficas de EUV». 19 de octubre de 2016. 
  225. «Asml20170118presentation». 
  226. R. Peeters et al., Proc. SPIE 8679, 86791F (2013).
  227. «ASML delays EUV tool revenue recognition». 18 de abril de 2012. 
  228. «ASML confía en los objetivos de EUV 2016 El gigante de la litografía holandés espera enviar al menos seis sistemas a medida que los clientes apunten a la rampa de producción de 2018». 20 de enero de 2016. 
  229. «ASML Holding's (ASML) CEO Peter Wennink on Q4 2016 Results - Earnings Call Transcript». 18 de enero de 2017. 
  230. ASML ships first XNE:3400B
  231. EUV Progress, Hurdles Cited
  232. . electroiq.com. Archivado desde el original el 26 de enero de 2019. Consultado el 2 de febrero de 2019. 
  233. «ASML: Prensa - Comunicados de prensaLa demanda fuerte de DUV impulsa los resultados sólidos del primer trimestre y confirma las perspectivas positivas para 2018 - Múltiples pedidos de UVE, incluida alta NA, demuestra una mayor adopción de la tecnología de UVE- Comunicados de prensaLa fuerte demanda de DUV impulsa resultados sólidos en el primer trimestre y confirma una perspectiva positiva para 2018 - Múltiples pedidos de UVE, incluida alta NA, demuestran una mayor adopción de la tecnología de UVE». www.asml.com. 
  234. «ASML: Prensa - Comunicados de prensaEl crecimiento de las ganancias continúa, impulsado por fuertes ventas en toda la cartera de productos - El progreso continuo de EUV permite la aceleración de la hoja de ruta de ASML - Comunicados de prensa El crecimiento de las continuaciones, impulsado por fuertes ventas en toda la cartera de productos - El progreso continuo de la EUV permite la aceleración de roadmap de ASML». www.asml.com. 
  235. Gerven, Paul van (31 de enero de 2019). «De 3400C is de euv-machine die ASML altijd heeft willen bouwen». Bits & Chips (en neerlandés). 
  236. M. van de Kerkhof et al., Proc. SPIE 10583, 105830S (2018).
  237. D. De Simone et al., Advanced Lithography 2019, 10957-21.
  238. Techinsights Samsung DRAM
  239. Samsung '1y' is 16-nm
  240. «SemiWiki.com - Top 10 Highlights from the TSMC Open Innovation Platform Ecosystem Forum». www.semiwiki.com. 
  241. . www.synopsys.com. Archivado desde el original el 5 de octubre de 2018. Consultado el 2 de febrero de 2019. 
  242. «Cadence logra la certificación EDA para TSMC 5nm y 7nm + FinFET Process Technologies para facilitar la creación de diseño móvil y HPC». 
  243. «Plataformas de diseño personalizado y digital Synopsys certificadas en tecnología de proceso basada en EUV TSMC 5 nm.». Design And Reuse. 
  244. . www.synopsys.com. Archivado desde el original el 5 de octubre de 2018. Consultado el 2 de febrero de 2019. 
  245. TSMC 5nm in 2019
  246. Intel presentation on Complementary Lithography at 2012 International Workshop on EUV Lithography
  247. . Archivado desde el original el 20 de noviembre de 2018. Consultado el 2 de febrero de 2019. 
  248. S. Hsu et al., Proc. SPIE 4691, 476 (2002).
  249. X. Liu et al., Proc. SPIE 9048, 90480Q (2014).
  250. S-Y. Oh et al., Proc. SPIE 4691, 1537 (2002).
  251. . www.semiwiki.com. Archivado desde el original el 20 de noviembre de 2018. Consultado el 2 de febrero de 2019. 
  252. D. Rio et al., Proc. SPIE 10809, 108090N (2018).
  253. . www.semiwiki.com. Archivado desde el original el 20 de noviembre de 2018. Consultado el 2 de febrero de 2019. 
  254. J. T. Neumann et al., Proc. SPIE 8522, 852211 (2012).
  255. Los chips Xeon E5-2600 V4 de Intel presentan un insano 7.2 billones de transistores en un dado de 456mm2,
  256. J. van Schoot et al., Proc. SPIE 9422, 94221F (2015).
  257. B. J. Lin, JM3 1, 7–12 (2002).
  258. E. R. Hosler et al., Proc. SPIE vol. 9776, 977616 (2015).
  259. B. J. Lin, J. Microlith., Microfab., Microsyst. 1, 7-12 (2002).
  260. B. J. Lin, Microelec. Eng. 143, 91-101 (2015).
  261. «Extendiendo UVE más allá de 3nm». 
  262. Mojarad, Nassir; Gobrecht, Jens; Ekinci, Yasin (18 de marzo de 2015). «Beyond EUV lithography: a comparative study of efficient photoresists' performance». Scientific Reports 5 (1): 9235. PMC 4363827. PMID 25783209. doi:10.1038/srep09235. 

Otras lecturas

  • Banqiu Wu and Ajay Kumar (May 2009). Litografía Ultravioleta Extrema. McGraw-Hill Professional, Inc. ISBN 978-0-07-154918-9. 
  • Banqiu Wu and Ajay Kumar (2009). «Litografía ultravioleta extrema: hacia la próxima generación de circuitos integrados». Optics & Photonics Focus 7 (4). 

Enlaces relacionados

  •   Datos: Q371965
  •   Multimedia: Category:Extreme ultraviolet lithography

litografía, ultravioleta, extrema, litografía, ultravioleta, extrema, también, conocida, como, euvl, luve, tecnología, litografía, utiliza, gama, longitudes, onda, ultravioleta, extrema, abarca, aproximadamente, ancho, banda, fwhm, aproximadamente, mecanismo, . La litografia ultravioleta extrema tambien conocida como EUV UVE EUVL o LUVE es una tecnologia de litografia que utiliza una gama de longitudes de onda ultravioleta extrema UVE que abarca aproximadamente un ancho de banda de 2 FWHM de aproximadamente 13 5 nm 1 2 3 4 Mecanismo de formacion de imagenes en litografia UVE Parte superior UVE multicapa y absorbente purpura que constituye un patron de mascara para obtener imagenes de una linea Parte inferior la radiacion UVE roja reflejada por el patron de la mascara se absorbe en la resistencia amarillo y el sustrato marron produciendo fotoelectrones y electrones secundarios azul Estos electrones aumentan la extension de las reacciones quimicas en la resistencia Un patron de electrones secundarios de naturaleza aleatoria se superpone a la imagen optica La exposicion secundaria a electrones no deseada produce una perdida de resolucion una rugosidad observable en el borde de la linea y una variacion del ancho de linea Aspecto estocastico de la formacion de imagenes UVE El ruido de la toma de fotones puede causar una variacion significativa de la dosis local que puede cruzar el umbral de impresion linea de puntos Si bien la tecnologia UVE esta disponible para la produccion en masa menos de cincuenta maquinas en todo el mundo son capaces de producir obleas utilizando la tecnica 5 en comparacion a partir de 2013 mas de 200 sistemas de inmersion en Litografia Ultravioleta Profunda UVP o DUV por sus siglas en ingles ya estaban implementados 6 A partir del tercer trimestre de 2019 5 7 millones de obleas han sido expuestas en herramientas de produccion de UVE Se expusieron 1 7 millones de obleas solo en Q1 Q3 mientras que el numero de herramientas aumento de 31 a 45 del orden de 10 WPH por herramienta 7 Los problemas que dificultan la adopcion de UVE son los costos de las herramientas los escaneres UVE de ASML pueden costar hasta US 120 millones 8 9 tiempo de actividad de la herramienta y fenomenos estocasticos 10 Indice 1 Herramienta 1 1 Requerimientos de recursos 1 2 Resumen de caracteristicas clave 2 Fuente de luz rendimiento y disponibilidad 2 1 Tiempo de actividad de la herramienta 2 2 Comparacion con otras fuentes de luz litograficas 3 Cuestiones estocasticas de la UVE 4 Cuestiones opticas especificas del UVE 4 1 Llamarada 4 2 Electrones secundarios 4 3 optica reflexiva 4 3 1 Efectos de sombreado de mascara gruesa 4 3 2 Asimetria H V 4 3 3 Asimetrias en conjuntos de lineas paralelas 4 3 4 Cambio de patron de desenfoque no telecentricidad 4 3 4 1 Mascarilla de colocacion vertical 4 3 4 2 Desenfoque de la oblea 4 3 5 Efectos de punta de linea 4 3 6 Dependencia de la posicion de hendidura 4 3 6 1 Aberraciones a traves de la hendidura 5 Oportunidades de mejora para el patron de UVE 5 1 Funciones de asistencia 5 2 Optimizacion de mascara de fuente 5 2 1 Impacto de las aberraciones 5 3 Iluminacion optima en funcion del tono 5 3 1 Ventanas de enfoque dependientes del tono 5 3 2 Iluminaciones para nodos avanzados 5 4 Mascaras de cambio de fase 6 Exposicion fotorresistente 6 1 Impacto del fotoelectronico y de los electrones secundarios sobre la resolucion 6 2 Carga y captura de electrones 6 3 Sensibilidad DUV 6 4 Resistir la desgasificacion 7 Efectos de contaminacion 7 1 Efectos secundarios del hidrogeno para eliminar la contaminacion redeposicion de estano formacion de ampollas resistencia a la erosion 7 2 Membrana 8 Defectos de la mascara 8 1 Dano multicapa 8 2 Peliculas 8 3 Defectos de abultamiento de hidrogeno 9 Limites de escala de rendimiento 9 1 Campos reducidos 9 2 Ruido de disparo el limite de resolucion estadistica 10 Uptime y productividad 11 Historial de despliegue 11 1 Problemas en curso para mejorar 12 Usar con patrones multiples 13 Extension de un solo patron Anamorfico Alto NA 14 Mas alla de la longitud de onda UVE 15 Referencias 16 Otras lecturas 17 Enlaces relacionadosHerramienta Editar Herramienta de LUVE Laboratorio Nacional Lawrence Livermore La herramienta consiste en una fuente de luz de plasma de estano Sn accionada por laser opticas reflectivas que comprenden espejos de multiples capas contenidas dentro de un ambiente de gas hidrogeno El hidrogeno se utiliza para mantener el espejo colector UVE en la fuente libre de deposicion de Sn 11 LUVE es una desviacion significativa del estandar de litografia ultravioleta profunda Toda la materia absorbe la radiacion UVE Por lo tanto la litografia UVE requiere un vacio Todos los elementos opticos incluida la fotomascara deben usar multicapas de molibdeno silicio Mo Si sin defectos que consisten en bicapas de 40 Mo Si que actuan para reflejar la luz por medio de la interferencia entre capas Cualquiera de estos espejos absorbe alrededor del 30 de la luz incidente Los sistemas LUVE actuales contienen al menos dos espejos multicapa de condensador seis espejos multicapa de proyeccion y un objeto multicapa mascara Como la optica ya absorbe el 96 de la luz UVE la fuente de UVE ideal debera ser mucho mas brillante que sus antecesoras El desarrollo de la fuente UVE se ha centrado en los plasmas generados por laser o pulsos de descarga El espejo responsable de recoger la luz esta directamente expuesto al plasma y por lo tanto es vulnerable al dano de los iones de alta energia 12 13 y otros escombros 14 Requerimientos de recursos Editar Utilidad Salida de 200 W UVE 90 W de salida ArF inmersion doble patronPotencia electrica kW 532 49Flujo de agua de enfriamiento L min 1600 75Gas lines 6 3Fuente Gigaphoton Sematech Symposium Japan 15 de septiembre de 2010Los recursos de utilidad requeridos son significativamente mas grandes para UVE en comparacion con la inmersion de 193 nm incluso con dos exposiciones utilizando esta ultima Hynix informo en el simposio de UVE de 2009 que la eficiencia del tapon de pared era 0 02 para UVE es decir para obtener 200 vatios a un enfoque intermedio por 100 obleas por hora uno requeriria 1 megavatio de potencia de entrada en comparacion con 165 kilowatts para un escaner de inmersion ArF y que incluso con el mismo rendimiento la huella del escaner UVE fue aproximadamente 3 veces la huella de un escaner de inmersion ArF lo que resulto en una perdida de productividad 15 Ademas para confinar desechos de iones se puede requerir un iman superconductor 16 Una herramienta tipica de UVE pesa 180 toneladas 17 Resumen de caracteristicas clave Editar La siguiente tabla resume las diferencias clave entre los sistemas UVE en desarrollo y los sistemas de inmersion ArF que ya se utilizan ampliamente en la produccion actual UVE Inmersion ArFLongitud de onda 13 5 nm 193 nmEnergia de fotones 92 eV 6 4 eVFuente de luz CO2 laser Sn plasma ArF excimer laserAncho de banda de longitud de onda 5 9 18 lt 0 16 19 Electrones secundarios producidos por absorcion Si Noopticas Multicapas reflectantes 40 de absorcion por espejo Lentes transmisivasApertura numerica NA 0 25 NXE 3100 0 33 NXE 33x0 y NXE 3400B Alta NA 0 55 en desarrollo 1 2 1 35Resolucion spec Nota k1 definido aqui como resolucion longitud de onda apertura numerica NXE 3100 27 nm k1 0 5 NXE 3300B 22 nm k1 0 54 18 nm k1 0 44 con iluminacion fuera de ejeNXE 3350B 16 nm k1 0 39 NXE 3400B 13 nm k1 0 32 38 nm k1 0 27 Llamarada 4 20 lt 1 21 Iluminacion Angulo central 6 grados fuera del eje sobre la reticula En ejeTamano del campo 0 25 y 0 33 NA 26 mm X 33 mm Alta NA 26 mm X 16 5 mm 20 26 mm X 33 mmAumento 0 25 y 0 33 NA 4X isomorfo Alta NA 4X 8X anamorfico 4XAmbiente Vacio de hidrogeno Aire area expuesta de la oblea bajo el agua Control de aberraciones incluyendo termica Ninguna Si e g FlexWave 22 Ranura de iluminacion En forma de arco 23 RectangularReticulo Patron en multicapa reflectante Patron sobre sustrato transmisivo Cambio de patron de oblea con posicion vertical del reticulo Si debido a la reflexion 1 40 24 NoPelicula Disponible pero tiene problemas SiObleas por dia Nota depende de la herramienta y la dosis 1000 6000 herramientas en campo 36 todos los modelos de herramienta 0 33 NA gt 400Los diferentes grados de resolucion entre las herramientas de 0 33 NA se deben a las diferentes opciones de iluminacion Fuente de luz rendimiento y disponibilidad Editar UVE TPT en funcion de la dosis El rendimiento de la oblea de una herramienta UVE es en realidad una funcion de la dosis de exposicion para una fuente de energia fija La degradacion del colector UVE a lo largo del tiempo La reflectividad del colector UVE se degrada en un 10 en un dia 4 mil millones de pulsos de 50 kHz lo que lleva a una perdida de tiempo de actividad y rendimiento Los atomos neutros o la materia condensada no pueden emitir radiacion UV La ionizacion debe preceder a la mision UVE en la materia La produccion termica de iones positivos compartidos solo es posible en un plasma denso en caliente que a su vez absorbe fuertemente la UVE 25 A partir de 2016 la fuente de luz UVE establecida es un plasma Sn pulsado con laser 26 Los iones absorben la luz UVE que emiten y son neutralizados facilmente por los electrones en el plasma para reducir los estados de carga que producen luz principalmente en otras longitudes de onda inutilizables lo que resulta en una eficiencia de la luz muy reducida para la litografia con una mayor densidad de potencia del plasma El rendimiento esta vinculado a la fuente de alimentacion dividido por la dosis 27 Una dosis mas alta requiere un movimiento de etapa mas lento menor rendimiento si no se puede aumentar la potencia del pulso El colector UVE tiene una vida util del orden de meses durante el cual la transmision disminuye constantemente mas del 10 en un dia 10 mil millones de pulsos de 50 kHz 28 Esto podria ser debido a la acumulacion de residuos de Sn mencionados anteriormente que no se limpian completamente Por otro lado las herramientas de litografia de inmersion convencionales para patrones dobles brindan resultados consistentes hasta por un ano 29 Recientemente el iluminador NXE 3400B presenta un indice de llenado de pupila PFR mas pequeno hasta un 20 sin perdida de transmision 30 La PFR esta maximizada y es mayor que 0 2 alrededor de un paso metalico de 45 nm 31 Debido al uso de espejos UVE que tambien absorben la luz UVE solo una pequena fraccion de la fuente de luz esta finalmente disponible en la oblea Hay 4 espejos utilizados para la optica de iluminacion y 6 espejos para la optica de proyeccion La mascara o reticula UVE es en si misma un espejo adicional Con 11 reflejos solo 2 de la fuente de luz UVE esta disponible en la oblea 32 Otra complicacion para el rendimiento de la herramienta UVE es la forma de anillo de la ranura de exposicion en contraste con la forma rectangular convencional 33 En consecuencia el ancho y la altura de la hendidura se confunden efectivamente de una manera complicada Tiempo de actividad de la herramienta Editar La fuente de luz UVE limita el tiempo de actividad de la herramienta ademas del rendimiento En un periodo de dos semanas por ejemplo se pueden programar mas de siete horas de tiempo de inactividad mientras que el tiempo de inactividad real total incluidos los problemas no programados podria exceder facilmente un dia 32 Un error de dosis superior al 2 garantiza el tiempo de inactividad de la herramienta 32 Comparacion con otras fuentes de luz litograficas Editar Mientras que los laseres de excimero ArF de 193 nm de vanguardia ofrecen intensidades de 200 W cm 34 los laseres para producir plasmas generadores de UVE deben ser mucho mas intensos del orden de 1011 W cm 35 Una fuente de luz de litio de inmersion de 120 W de ArF de ultima generacion no requiere mas de 40 kW 36 mientras que las fuentes UVE estan destinadas a superar los 40 kW 37 El objetivo de potencia para la litografia UVE es de al menos 250 W mientras que para otras fuentes de litografia convencionales es mucho menos 32 Por ejemplo las fuentes de luz de litografia de inmersion apuntan a 90 W las fuentes de ArF secas a 45 W y las fuentes de KrF a 40 W Se espera que las fuentes UVE con alto contenido de NA requieran al menos 500 W 32 Cuestiones estocasticas de la UVE Editar Los modos de falla complementarios reducen la ventana del CD a un tono de 36 nm Probabilidades de fallo estocastico para espacios de 20 22 nm a dosis de 30 mJ cm de UVE en el rango de tono de 40 80 nm La litografia UVE es particularmente sensible a los efectos estocasticos 38 En una gran cantidad de caracteristicas impresas por UVE aunque la gran mayoria esta resuelta algunas sufren una falla completa de impresion por ejemplo Faltan agujeros o lineas de puente Una contribucion significativa conocida a este efecto es la dosis utilizada para imprimir Esto esta relacionado con el problema del ruido de disparo que se tratara mas adelante La probabilidad de falla estocastica aumenta exponencialmente a medida que disminuye el tamano de la caracteristica y para el mismo tamano de caracteristica aumentar la distancia entre las caracteristicas tambien aumenta significativamente la probabilidad 39 Los cortes de linea que estan relativamente espaciados son un problema importante El rendimiento requiere la deteccion de fallas estocasticas por debajo de 1e 12 39 Pueden existir multiples modos de falla para la misma poblacion Por ejemplo ademas del puente de las trincheras las lineas que separan las trincheras pueden romperse Esto puede atribuirse a la perdida de resistencia estocastica 38 Esta resistencia a la perdida puede ocurrir a partir de electrones secundarios 40 La capa inferior de resistencia tambien juega un papel importante 39 Esto podria deberse a los electrones secundarios generados por la capa inferior 41 Los electrones secundarios pueden eliminar mas de 10 nm de resistencia del borde expuesto 40 42 El nivel de defectos es del orden de 1K mm 43 Cuestiones opticas especificas del UVE EditarLlamarada Editar La llamarada es la presencia de luz de fondo que se origina a partir de la dispersion de caracteristicas de la superficie que no se resuelven con la luz En los sistemas UVE esta luz puede ser UVE o luz fuera de banda OoB que tambien es producida por la fuente UVE La luz de OoB agrega la complicacion de afectar la exposicion de resistencia en formas distintas a las explicadas por la exposicion de UVE La exposicion a la luz de OoB puede aliviarse con una capa recubierta por encima de la resistencia asi como con las caracteristicas de borde negro en la mascara UVE 44 Sin embargo el recubrimiento de capa absorbe inevitablemente la luz UVE y el borde negro agrega el costo de procesamiento de la mascara UVE Electrones secundarios Editar La luz UVE genera fotoelectrones al ser absorbidos por la materia Estos fotoelectrones a su vez generan electrones secundarios que disminuyen la velocidad antes de involucrarse en reacciones quimicas 45 Cabe senalar que a dosis suficientes se sabe que los electrones de 40 eV penetran en el espesor de 180 nm lo que lleva al desarrollo 46 Mas detalles sobre los electrones secundarios en la exposicion fotoprotectora UVE se proporcionan a continuacion A una dosis de 160 mC cm correspondiente a 15 mJ cm2 UVE dosis suponiendo que un electron foton 30 eV electrones extraidos 7 nm de PMMA resisten despues del desarrollo estandar 47 Para una dosis mas alta de 30 eV de 380 mC cm2 equivalente a 36 mJ cm a un electron foton se eliminan 10 4 nm de PMMA resist 48 Estos indican las distancias que los electrones pueden viajar en resistencia independientemente de la direccion 49 optica reflexiva Editar Un aspecto fundamental de las herramientas LUVE resultado del uso de opticas reflectivas es la iluminacion fuera del eje en un angulo de 6 grados en diferentes direcciones en diferentes posiciones dentro de la rendija de iluminacion 50 en una mascara de multiples capas Esto conduce a efectos de sombreado que dan como resultado una asimetria en el patron de difraccion que degradan la fidelidad del patron de varias maneras como se describe a continuacion 51 Efectos de sombreado de mascara gruesa Editar La incidencia oblicua en un sistema optico reflectante conduce a efectos de sombra en presencia de un absorbente de mascara Por ejemplo un lado detras de la sombra aparecera mas brillante que el otro dentro de la sombra 52 Asimetria H V Editar UVE no telecentrismo Izquierda debido a las grandes diferencias de angulo de reflexion de varias capas un lado de la pupila de iluminacion produce mas luz reflejada Derecha En consecuencia la iluminacion de un lado sera dominante Esto da como resultado una diferencia de trayectoria optica entre los ordenes de difraccion con respecto al desenfoque lo que lleva a una tendencia a que el patron se desplace Fundamentalmente el comportamiento de los rayos de luz dentro del plano de reflexion que afecta a las lineas horizontales es diferente del comportamiento de los rayos de luz fuera del plano de reflexion que afecta a las lineas verticales 53 De manera mas visible las lineas horizontales y verticales de tamano identico en la mascara UVE se imprimen en diferentes tamanos en la oblea Diferencia de CD de 2 barras vs enfoque La diferencia entre los anchos de dos lineas horizontales adyacentes varia en funcion del enfoque Asimetrias en conjuntos de lineas paralelas Editar La combinacion de la asimetria fuera del eje y el efecto de sombreado de la mascara conduce a una incapacidad fundamental de dos caracteristicas identicas incluso en las proximidades cercanas para estar enfocadas simultaneamente 54 Una de las cuestiones clave de LUVE es la asimetria entre la linea superior e inferior de un par de lineas horizontales las llamadas dos barras Algunas formas de compensar parcialmente son el uso de funciones de asistencia y la iluminacion asimetrica 55 Una extension de la caja de dos barras a una rejilla que consta de muchas lineas horizontales muestra una sensibilidad similar al desenfoque 56 Se manifiesta en la diferencia de CD entre las lineas de borde superior e inferior del conjunto de 11 lineas horizontales La siguiente tabla enumera la diferencia de CD en el rango de enfoque de 100 nm bajo la iluminacion del cuasar la iluminacion del cuasar se describira en la seccion sobre iluminacion optima en funcion del tono Tono Diferencia horizontal de 11 barras en la parte inferior superior del CD en un rango de enfoque de 100 nm cuasar 36 nm 3 5 nm40 nm 2 5 nm44 nm 1 7 nmPara tonos de 40 nm o menos los anchos de linea son de 20 nm o menos mientras que la diferencia de CD es de al menos 2 5 nm lo que resulta en una diferencia de al menos 12 5 Aparicion de dos barras en diseno irregular Un diseno irregular puede incluir ubicaciones de dos barras que son propensas a la obtencion de imagenes asimetricas Cambio de patron de desenfoque no telecentricidad Editar Mascarilla de colocacion vertical Editar El uso de la reflexion hace que la posicion de exposicion de la oblea sea extremadamente sensible a la planicie del reticulo y la abrazadera del reticulo Por lo tanto es necesario mantener la limpieza de la pinza de reticula Pequenas desviaciones escala mrad en la planitud de la mascara en la pendiente local junto con el desenfoque de la oblea 57 Mas significativamente se ha encontrado que el desenfoque de la mascara produce grandes errores de superposicion 58 59 En particular para una capa de 1 nodo de metal de 10 nm incluidas 48 nm 64 nm 70 nm tonos lineas aisladas y electricas el error de colocacion del patron no corregible fue de 1 nm para el cambio de posicion z de la mascara de 40 nm 60 Este es un cambio de patron global de la capa con respecto a las capas previamente definidas Sin embargo las caracteristicas en diferentes ubicaciones tambien cambiaran de manera diferente debido a diferentes desviaciones locales de la planitud de la mascara por ejemplo de defectos enterrados bajo la multicapa Se puede estimar que la contribucion de la falta de planeidad de la mascara al error de superposicion es aproximadamente 1 40 veces la variacion del espesor de pico a valle 61 Con la especificacion de 50 nm de pico a valle en blanco es posible un error de colocacion de imagen de 1 25 nm Las variaciones de grosor en blanco de hasta 80 nm tambien contribuyen lo que lleva a un cambio de imagen de hasta 2 nm 62 Desenfoque de la oblea Editar Desvio del patron de la capa de metal del nodo de 10 nm vs desenfoque Diferentes patrones en la capa metalica de nodo de 10 nm 24 nm hp se desplazan de manera diferente a traves del enfoque dependiendo de la orientacion y la posicion de la hendidura asi como de la disposicion La iluminacion fuera del eje de la reticula es tambien la causa de la no telecentricidad en el desenfoque de obleas que consume la mayor parte del presupuesto de superposicion de 1 4 nm del escaner NXE 3400 UVE 63 incluso para reglas de diseno tan sueltas como 100 nm 64 El peor error de colocacion de patron incorregible para una linea de 24 nm fue de aproximadamente 1 1 nm en relacion con una linea electrica adyacente de 72 nm por cada 80 nm de desplazamiento de la posicion de enfoque de la oblea en una sola posicion de hendidura cuando se incluye el rendimiento a traves de la rendija el peor error es mas de 1 5 nm en la ventana de desenfoque de la oblea 60 En 2017 un microscopio actinico que simulaba un sistema de litografia NA UVE de 0 33 con iluminacion de cuasar 45 de 0 2 0 9 mostro que una matriz de contacto de tono de 80 nm cambio de 0 6 a 1 0 nm mientras que una matriz de contacto de tono de 56 nm cambio de 1 7 a 1 0 nm con relacion a un linea de referencia horizontal dentro de una ventana de desenfoque de 50 nm 65 El desenfoque de la oblea tambien conduce a errores de colocacion de la imagen debido a desviaciones de la planitud de la mascara local Si la pendiente local se indica con un angulo a se proyecta que la imagen se desplace en una herramienta de proyeccion 4x en 8 a x PDE 2 4 a PDE donde PDE es la profundidad de enfoque 66 Para una profundidad de enfoque de 100 nm una pequena desviacion local de la planitud de 2 5 mrad 0 14 puede llevar a un cambio de patron de 1 nm Efectos de punta de linea Editar Un desafio clave para UVE es el comportamiento de contraescalado de la linea de punta a punta T2T tip to tip a medida que se reduce el semitono hp half pitch Esto se debe en parte al menor contraste de la imagen para las mascaras binarias utilizadas en la litografia UVE que no se encuentra con el uso de mascaras de cambio de fase en la litografia de inmersion 67 68 El redondeo de las esquinas del extremo de la linea conduce a un acortamiento del extremo de la linea 69 y esto es peor para las mascaras binarias 70 Se ha estudiado el uso de mascaras de cambio de fase en la litografia UVE pero se encuentran dificultades con el control de fase en capas delgadas 71 asi como el ancho de banda de la propia luz UVE 72 Mas convencionalmente la correccion de proximidad optica CPO o OPC se utiliza para abordar el redondeo de la esquina y el acortamiento del final de linea A pesar de esto se ha demostrado que la resolucion de punta a punta y la capacidad de impresion de la punta de la linea se intercambian entre si siendo efectivamente CDs de polaridad opuesta 73 Ademas la efectividad de las correcciones opticas depende de otras causas no opticas como la resistencia al desenfoque y los efectos de difusion que tambien pueden incluir el desenfoque de electrones secundarios que se analiza en la seccion sobre exposicion a la fotoproteccion 74 Ademas los pesos y tamanos moleculares mas grandes parecen reducir el redondeo de las esquinas 75 En las capas de metal unidireccionales el espaciado de punta a punta es uno de los problemas mas graves para los patrones de exposicion unica Para las lineas verticales de paso de 40 nm una separacion nominal de punta a punta nominal de 18 nm resulto en una distancia real de punta a punta de 29 nm con CPO correccion de proximidad optica 76 mientras que para lineas horizontales de 32 nm la distancia de punta a punta con un espacio nominal de 14 nm fue de 31 nm con CPO 77 Estas distancias reales de punta a punta definen un limite inferior del medio paso del metal que corre en la direccion perpendicular a la punta En este caso el limite inferior es de alrededor de 30 nm Con una optimizacion adicional de la iluminacion analizada en la seccion sobre optimizacion de mascara de fuente el limite inferior se puede reducir aun mas a alrededor de 25 nm 78 Para tonos mas grandes donde se puede usar iluminacion convencional la distancia de linea a punta es generalmente mayor Para las lineas de medio paso de 24 nm con una separacion nominalmente dibujada de 20 nm la distancia fue en realidad de 45 nm mientras que para las lineas de medio tono de 32 nm la misma separacion nominal resulto en una distancia de punta a punta de 34 nm 77 Con CPO estos se convierten en 39 nm y 28 nm para el semitono de 24 nm y el semitono de 32 nm respectivamente 79 El espacio impreso entre la punta de una linea y la linea perpendicular a la que se enfrenta es de 25 35 nm para lineas de medio paso de 22 nm con un espacio vacio nominal de 20 nm 79 Para un patron de espacio de linea de 22 nm con un hueco nominal de 22 nm la iluminacion convencional produce una distancia de punta a linea de 38 nm mientras que la iluminacion de cuasar produce una distancia de 28 nm 80 La brecha de punta a lado es una de las caracteristicas mas dificiles de imprimir en un patron bidireccional 79 Resumen de la linea de la UVE y los efectos de esquina 81 Redondeo de la esquina Punta a punta Punta a lado 25 nm 28 nm 28 nmFuente Semicon West 2017 IBMLa distancia de separacion de la linea final de 28 nm esencialmente obliga a que los tonos sean de al menos 56 nm para el patron bidireccional de exposicion unica de UVE El paso de metal minimo del nodo de 7 nm ya esta a 40 nm o menos mientras que el paso de la puerta tambien puede estar por debajo de 56 nm 82 83 por lo que esta es una indicacion de que se necesitarian multiples patrones incluso para UVE a 7 nm 84 Dependencia de la posicion de hendidura Editar La direccion de la iluminacion tambien depende en gran medida de la posicion de la hendidura Por lo tanto patrones de troquel identicos en diferentes mitades de la rendija obtendrian diferentes CPO Esto los hace irresponsables por la comparacion de morir a morir ya que ya no son dados realmente identicos La dependencia de la posicion de la hendidura es particularmente dificil para los patrones inclinados encontrados en la DRAM 85 Ademas de los efectos mas complicados debido al sombreado y la rotacion de la pupila los bordes inclinados se convierten en forma de escalera que puede estar distorsionada por CPO Aberraciones a traves de la hendidura Editar Aberraciones originadas por desviaciones de superficies opticas de especificaciones subatomicas lt 0 1 nm 86 asi como deformaciones termicas 87 88 y posiblemente incluyendo efectos de reflectancia polarizados 89 tambien son dependientes de la posicion de la hendidura 90 88 como se explicara mas adelante con respecto a la optimizacion de la mascara de origen SMO Se espera que las aberraciones inducidas termicamente muestren diferencias entre las diferentes posiciones a lo largo de la ranura correspondientes a diferentes posiciones de campo ya que cada posicion encuentra diferentes partes de los espejos deformados 91 Ironicamente el uso de materiales de sustrato con alta estabilidad termica y mecanica hace que sea mas dificil compensar los errores de frente de onda 92 Oportunidades de mejora para el patron de UVE EditarFunciones de asistencia Editar Las funciones de asistencia se usan a menudo para ayudar a equilibrar la asimetria de no telecentricidad en diferentes posiciones de rendija debido a diferentes angulos de iluminacion comenzando en el nodo de 7 nm 93 94 Sin embargo la asimetria se reduce pero no se elimina por completo ya que las funciones de asistencia mejoran principalmente las frecuencias espaciales mas altas mientras que las frecuencias espaciales intermedias que tambien afectan el enfoque y la posicion de las funciones no se ven muy afectadas El acoplamiento entre la imagen primaria y las imagenes propias es demasiado fuerte para que la asimetria se elimine por completo con las funciones de asistencia Solo la iluminacion asimetrica puede lograr esto 55 Las funciones de asistencia tambien pueden obstaculizar el acceso a los rieles de alimentacion tierra Se espera que los rieles electricos sean mas anchos lo que tambien limita la efectividad del uso de las funciones de asistencia al limitar el tono local Los tonos locales entre 1x y 2x el tono minimo no permiten la colocacion de la funcion de asistencia ya que simplemente no hay espacio para preservar la simetria del tono local De hecho para la aplicacion al caso de asimetria de dos barras la ubicacion optima de la funcion de asistencia puede ser menor o mayor que la inclinacion de dos barras 94 Dependiendo del parametro a optimizar area de la ventana de proceso profundidad de enfoque latitud de exposicion la configuracion optima de la funcion de asistencia puede ser muy diferente por ejemplo el tono entre la funcion de asistencia y la barra es diferente del tono de dos barras simetrico o asimetrico etc En los tonos mas pequenos que 58 nm hay una compensacion entre la mejora de la profundidad de enfoque y la perdida de contraste por la colocacion de la funcion de asistencia 94 En general todavia hay un compromiso de exposicion de enfoque ya que la ventana de dosis esta limitada por la necesidad de que las funciones de asistencia no se impriman accidentalmente Una preocupacion adicional proviene del ruido de disparo 95 las funciones de asistencia de sub resolucion SRAF hacen que la dosis requerida sea mas baja para no imprimir las funciones de asistencia de forma accidental 96 Esto resulta en menos fotones que definen caracteristicas mas pequenas vea la discusion en la seccion sobre ruido de disparo Ahora se sabe que el mecanismo subyacente para la asimetria es un sombreado diferente desde diferentes angulos de incidencia Por lo tanto reducir el grosor del absorbedor seria la forma mas directa de resolver el problema 97 Optimizacion de mascara de fuente Editar La optimizacion de mascara de fuente SMO se utiliza para reducir el cambio de patron para diferentes caracteristicas en una capa de metal dirigida a CD de 16 nm con paso de ancla de 32 nm en una sola exposicion pero no puede satisfacer todos los casos posibles Debido a los efectos de la no telecentricidad las formas de alumbrado estandar de la pupila como un disco o anular no son suficientes para usar con tamanos de funcion de 20 nm o menos nodo de 10 nm y mas 64 En cambio ciertas partes de la pupila a menudo mas del 50 deben ser excluidas asimetricamente Las partes a excluir dependen del patron En particular las lineas mas densas permitidas deben alinearse a lo largo de una direccion y prefieren una forma de dipolo Para esta situacion se requeriria una litografia de doble exposicion para los patrones 2D debido a la presencia de ambos patrones orientados a X e Y cada uno de los cuales requiere su propia mascara de patron 1D y orientacion dipolar 98 99 Puede haber 200 400 puntos de iluminacion cada uno de los cuales contribuye con el peso de la dosis para equilibrar la imagen general a traves del enfoque Por lo tanto el efecto de ruido de disparo que se analizara mas adelante afecta de manera critica la posicion de la imagen a traves del enfoque en una gran cantidad de caracteristicas Tambien se requeriria un patron doble o multiple si un patron consiste en sub patrones que requieren iluminaciones optimizadas significativamente diferentes debido a diferentes tonos orientaciones formas y tamanos Impacto de las aberraciones Editar En gran parte debido a la presencia de aberraciones residuales 100 La efectividad de SMO varia a lo largo de la posicion de corte 101 En cada posicion de la hendidura hay diferentes aberraciones 90 y diferentes angulos de incidencia azimutales que conducen a diferentes sombras 102 En consecuencia podria haber variaciones no corregidas a traves de la rendija para caracteristicas sensibles a la aberracion que pueden no ser vistas obviamente con patrones regulares de espacio de linea 94 En cada posicion de la hendidura aunque la correccion de proximidad optica CPO incluidas las caracteristicas de asistencia mencionadas anteriormente tambien se puede aplicar para tratar las aberraciones 103 104 tambien retroalimentacion en la especificacion de iluminacion 105 101 106 107 Ya que los beneficios difieren para diferentes condiciones de iluminacion 103 Esto requeriria el uso de diferentes combinaciones de mascara de fuente en cada posicion de la rendija es decir multiples exposiciones de mascara por capa 90 Iluminacion optima en funcion del tono Editar La iluminacion optima teniendo en cuenta las ventanas de dosis de exposicion y enfoque es una funcion importante del tono en el rango entre 32 nm y 48 nm relevante para nodos de fundicion de 7 nm y 10 nm que es donde la mayoria del trabajo en UVE La aplicacion ha sido enfocada Para pasos mayores a 44 nm la forma de la pupila de iluminacion es preferiblemente convencional que es un disco circular que posiblemente incluya un oscurecimiento central para proporcionar una apariencia anular 77 Para tonos en el rango de 44 nm a 34 nm la forma optima ya no es convencional o anular sino que tiene una forma mas parecida al cuasar anular en forma de cuadrupolo 108 fuente es decir un arco dentro de cada cuadrante de la pupila 77 Para pasos de 32 nm y por debajo la iluminacion optima se vuelve mas parecida a un dipolo es decir se concentra hacia la parte superior e inferior o hacia los extremos izquierdo y derecho de la pupila 76 Cuando se realiza la optimizacion de la mascara de la fuente la forma resultante se asemejara a la mas cercana del conjunto estandar convencional anular cuasar dipolo Para lanzamientos de menos de 41 nm la parte central de la pupila debe excluirse para una herramienta con NA 0 33 ya que la luz de 13 5 nm de UVE que atraviesa esa parte solo contribuira al orden de difraccion cero luz no dispersada lo que agregara destellos 109 Pitch Forma de iluminacion estandar mas cercana a la optima48 nm Convencional anular44 nm cuasar o convencional anular40 nm cuasar o convencional anular36 nm cuasar o convencional anular32 nm Dipolo28 nm DipoloVentanas de enfoque dependientes del tono Editar La mejor posicion de enfoque vs tono La mejor posicion de enfoque varia fuertemente en funcion del tono En el rango de tono de 48 64 nm la mejor posicion de enfoque se desplaza mas o menos linealmente en funcion del tono hasta en 10 20 nm 110 Para el rango de tono de 34 48 nm la mejor posicion de enfoque se desplaza mas o menos linealmente en la direccion opuesta en funcion del tono Esto se puede correlacionar con la diferencia de fase entre las ordenes de difraccion cero y primera 111 Se encontro que las funciones de asistencia si pueden caber dentro del tono no reducen mucho esta tendencia para un rango de tonos intermedios 112 o incluso lo empeoro para el caso de 18 27 nm y la iluminacion del cuasar 113 Los orificios de contacto de 50 nm en 100 nm y 150 pasos tenian las mejores posiciones de enfoque separadas por aproximadamente 25 nm se espera que las caracteristicas mas pequenas sean peores 114 Los orificios de contacto en el rango de paso de 48 100 nm mostraron un mejor rango de enfoque de 37 nm 115 La mejor posicion de enfoque vs tono tambien depende de la resistencia 116 Las capas criticas a menudo contienen lineas en un paso minimo de una polaridad por ejemplo zanjas de campo oscuro en una orientacion por ejemplo verticales mezcladas con espacios de la otra polaridad de la otra orientacion Esto a menudo aumenta las mejores diferencias de enfoque y desafia las imagenes de punta a punta y de punta a linea 117 Iluminaciones para nodos avanzados Editar Para el nodo 5nm de fundicion el paso de metal minimo para lineas horizontales se toma alrededor de 32 nm 118 para los cuales se prefiere la iluminacion tipo dipolo pero el paso de metal minimo para lineas verticales paralelas a las puertas se toma alrededor de 40 nm 118 para lo cual se prefiere la iluminacion de tipo cuasar Ademas para el nodo de 7nm de fundicion el paso de metal minimo para lineas horizontales se toma en torno a los 40 nm 118 para la cual se espera una iluminacion similar a un cuasar mientras que el paso de metal minimo para lineas verticales puede tomarse en alrededor de 50 nm 118 Para lo cual se prefiere la iluminacion convencional o anular Para la iluminacion del cuasar la mejor posicion de enfoque varia fuertemente en funcion del tono particularmente de 36 40 nm en comparacion con 48 60 nm asi como en el rango de 48 72 nm 119 Para estos nodos es imposible tener un solo ajuste de iluminacion de exposicion UVE que se adapte a las dos direcciones de la linea de metal en diferentes tonos respectivos Se esperan capas de metal unidireccionales para estos nodos de todos modos 118 En este caso se espera que la brecha entre puntas y lineas se mantenga lo suficientemente pequena utilizando exposiciones de corte en un escenario de patrones multiples 76 Mascaras de cambio de fase Editar Una ventaja comunmente promocionada de UVE ha sido la relativa facilidad de la litografia como lo indica la relacion entre el tamano de la caracteristica y la longitud de onda multiplicada por la apertura numerica tambien conocida como la relacion k1 Un ancho de linea metalico de 18 nm tiene un k1 de 0 44 para una longitud de onda de 13 5 nm 0 33 NA por ejemplo Para el k1 que se aproxima a 0 5 se ha usado alguna mejora de resolucion debil que incluye mascaras de cambio de fase atenuadas como esenciales para la produccion con la longitud de onda del laser ArF 193 nm 120 121 122 123 124 125 Considerando que esta mejora de resolucion no esta disponible para UVE 126 127 En particular los efectos de mascara 3D que incluyen la dispersion en los bordes del absorbente distorsionan el perfil de fase deseado 127 Ademas el perfil de fase se deriva efectivamente del espectro de onda plana reflejado desde la multicapa a traves del absorbedor en lugar de la onda plana incidente 128 Sin absorbentes la distorsion de campo cercano tambien se produce en una pared lateral grabada de multiples capas debido a la iluminacion de incidencia oblicua 129 Algunas luces solo atraviesan un numero limitado de bicapas cerca de la pared lateral Ademas las diferentes polarizaciones TE y TM tienen diferentes cambios de fase 52 Exposicion fotorresistente EditarCuando se absorbe un foton UVE se generan fotoelectrones y electrones secundarios por ionizacion de forma muy similar a lo que ocurre cuando los rayos X o los haces de electrones son absorbidos por la materia 130 10 mJ cm la dosis de fotones de UVE da como resultado la generacion de dosis de fotoelectrones de 109 uC cm La resistencia mas absorbente elimina mas luz en la parte superior de la resistencia dejando menos para la parte inferior de la resistencia La absorcion mas grande conduce a diferencias mas grandes y mas significativas entre las dosis absorbidas en la parte superior e inferior de la resistencia Profundidad resistente Absorcion 1 um Absorcion 5 um Absorcion 20 um Top 10 nm 1 5 18 10 20 nm de profundidad 1 4 5 15 20 30 nm de profundidad 1 4 5 12 30 40 nm de profundidad 1 4 10 40 50 nm de profundidad 1 4 8 En otras palabras cuanto menos absorba la resistencia mas uniformemente vertical sera la absorcion Convencionalmente las fotoprotecciones se hacen lo mas transparentes posible para luchar por esta uniformidad vertical que permite perfiles de resistencia mas rectos Por otro lado para UVE esto entra en conflicto con el objetivo de aumentar la absorcion para aumentar la sensibilidad a los niveles actuales de potencia de UVE El ruido del disparo es otra preocupacion que se explicara mas adelante Impacto del fotoelectronico y de los electrones secundarios sobre la resolucion Editar Resiste la perdida de los fotoelectrones de 80 eV UVE Se espera que el fotoelectron de 80 eV incurra en una perdida de resistencia de 7 5 nm lo que dificultaria el control de las dimensiones de resistencia dentro de 15 nm Resiste la perdida de los fotoelectrones UVE desacelerados a 30 eV Los electrones de 30 eV de los fotoelectrones ralentizados dan como resultado una perdida de resistencia significativa asi como una reticulacion a dosis mas altas en PMMA Un estudio realizado por el Colegio de Ciencias e Ingenieria a Nanoescala CNSE por sus siglas en ingles presentado en el Taller LUVE de 2013 indico que como una medida del fotoelectron UVE y el desenfoque de electrones secundarios los electrones de 50 100 eV penetraron facilmente mas alla de los 15 nm de espesor de resistencia PMMA o resistencia comercial que indica un rango de resistencia de mas de 30 nm afectado centrado en el punto de absorcion UVE para dosis superiores a 200 300 uC cm 131 Esto se puede comparar con la degradacion del contraste de la imagen notificada para los tonos de sub 40 nm mas adelante en 2015 41 TEl proceso de penetracion de electrones a traves de una resistencia es esencialmente un proceso estocastico existe una probabilidad limitada de que la resistencia a la exposicion de los electrones liberados pueda ocurrir bastante lejos del punto de absorcion de fotones 132 Aumentar la dosis aumenta el numero de electrones de gran alcance lo que resulta en una perdida de resistencia mas prolongada Una resistencia lider en UVE amplificada quimicamente expuesta a 80 eV electrones a una dosis de hasta 80 uc cm mostro una perdida de espesor de hasta 7 5 nm 133 Para una resistencia de fuente abierta expuesta a cerca de 200 uC cm por 80 eV de electrones el espesor de la resistencia perdida despues del horneado y el desarrollo post exposicion fue de alrededor de 13 nm mientras que duplicar la dosis resulto en un aumento de la perdida a 15 nm 40 Por otro lado para dosis gt 500 uc cm la resistencia comienza a espesarse debido a la reticulacion 133 Se ha demostrado que el grado de emision de fotoelectrones de la capa subyacente a la fotoproteccion UVE afecta la profundidad de enfoque 134 Desafortunadamente las capas de mascara dura tienden a aumentar la emision de fotoelectrones degradando la profundidad del enfoque Carga y captura de electrones Editar Debido a la produccion de electrones secundarios de varias energias la carga de la resistencia puede fluctuar localmente 135 Una exposicion de UVE con menos desenfoque conduce a diferencias de carga mas pronunciadas en el borde de la caracteristica 136 lo que puede llevar a campos electricos mas grandes 137 Se ha observado que campos electricos tan grandes conducen a una ruptura dielectrica 135 La captura de electrones secundarios conduce a una reduccion de los electrones secundarios emitidos por la pelicula 135 sin embargo los sitios de trampa pueden agotarse lo que resulta en un desenfoque de electrones secundarios extendidos efectivamente para dosis mas grandes 138 Se predice que el atrapamiento de electrones ocurrira como parte del comportamiento polaronico 139 140 lo que limita la deposicion de energia final del electron para atrapar las ubicaciones del sitio El tamano del polaron puede ser bastante grande en resistencias por ejemplo 46 nm en PMMA 140 Sensibilidad DUV Editar Se debe tener en cuenta que las resistencias de UVE tambien se pueden exponer por longitudes de onda mas largas que las de UVE en particular las longitudes de onda VUV y DUV en el rango de 150 250 nm 141 Resistir la desgasificacion Editar Contaminacion por desgasificacion frente a la dosis de UVE El aumento de la dosis al tamano Esize para reducir el ruido y la rugosidad de los disparos tiene el precio del aumento de la contaminacion por desgasificacion El grosor de contaminacion que se muestra aqui es relativo a una resistencia de referencia Debido a la alta eficiencia de la absorcion de UVE por fotoprotectores el calentamiento y la desgasificacion se convierten en preocupaciones principales Los fotoprotectores organicos superan los hidrocarburos 142 mientras que los fotoprotectores de oxido metalico expulsan el agua y el oxigeno 143 y metal en un ambiente de hidrogeno lo ultimo es impecable Se sabe que la contaminacion por carbono afecta la reflectividad de multiples capas mientras que el oxigeno es particularmente danino para las capas de recubrimiento de rutenio en la optica de multiples capas de UVE 144 Efectos de contaminacion EditarUn problema bien conocido es la deposicion de contaminacion en la resistencia de los hidrocarburos a temperatura ambiente o desgasificada que resulta de reacciones impulsadas por electrones o UVE 145 Efectos secundarios del hidrogeno para eliminar la contaminacion redeposicion de estano formacion de ampollas resistencia a la erosion Editar El hidrogeno atomico en las camaras de herramientas se utiliza para limpiar el estano y el carbono que se depositan en las superficies opticas de UVE 146 La reaccion con estano en la fuente de luz o resistir o en una superficie optica para formar SnH4 volatil procede a traves de la reaccion S n s 4 H g S n H 4 g displaystyle Sn s 4H g to SnH 4 g 146 El SnH4 puede alcanzar los recubrimientos de otras superficies opticas de UVE donde vuelve a depositar Sn a traves de la reaccion S n H 4 S n s 2 H 2 g displaystyle SnH 4 to Sn s 2H 2 g 146 El Sn redepositado 147 148 podria eliminarse posteriormente por exposicion a hidrogeno atomico La eliminacion del carbono procede de la formacion de metano a temperaturas mas bajas o de la formacion de acetileno a temperaturas mas altas 146 H a d s C C H a d s displaystyle H ads C to CH ads Formacion de metanoC H a d s H a d s C H 2 a d s displaystyle CH ads H ads to CH 2 ads C H 2 a d s H a d s C H 3 a d s displaystyle CH 2 ads H ads to CH 3 ads C H 3 a d s H a d s C H 4 g displaystyle CH 3 ads H ads to CH 4 g Formacion de acetilenoC H a d s C H a d s C 2 H 2 g displaystyle CH ads CH ads to C 2 H 2 g El hidrogeno atomico es producido por la luz UVE directamente fotoionizante H2 h n H 2 H H e displaystyle ce h nu H 2 to H H e 149 Los electrones generados en la reaccion anterior tambien pueden disociar el H2 para formar hidrogeno atomico e displaystyle e H 2 H H 2 e displaystyle ce H2 to H H 2e 149 El hidrogeno tambien reacciona con compuestos que contienen metales para reducirlos a metales 150 y se difunde a traves del silicio 151 y molibdeno 152 en la multicapa eventualmente causando ampollas 153 154 Las capas limitadoras que mitigan el dano relacionado con el hidrogeno a menudo reducen la reflectividad a muy por debajo del 70 153 Se sabe que las capas protectoras son permeables a los gases ambientales incluido el oxigeno 155 y el hidrogeno 156 157 158 asi como susceptibles a los defectos de ampollas inducidas por el hidrogeno 159 El hidrogeno tambien puede reaccionar con la capa de recubrimiento dando como resultado su eliminacion 160 El hidrogeno tambien reacciona con la resistencia al ataque quimico 161 162 o descomponerlos 163 Ademas de la fotoproteccion los plasmas de hidrogeno tambien pueden grabar silicio aunque muy lentamente 164 Membrana Editar Para ayudar a mitigar los efectos anteriores la ultima herramienta UVE introducida en 2017 la NXE 3400B cuenta con una membrana que separa la oblea de la optica de proyeccion de la herramienta protegiendo a este ultimo de la desgasificacion de la resistencia en la oblea 30 La membrana contiene capas que absorben la radiacion DUV e IR y transmite el 85 90 de la radiacion UVE incidente Por supuesto hay contaminacion acumulada por desgasificacion de obleas y particulas en general aunque estas ultimas estan desenfocadas aun pueden obstruir la luz Defectos de la mascara Editar Mascara de impresion de defectos de la mascara UVE Los defectos con alturas de escala atomica pueden afectar a las dimensiones impresas por UVE aunque esten enterradas por muchas capas Fuente Laboratorio Nacional Lawrence Berkeley e Intel Imprimibilidad del defecto UVE frente al tono La capacidad de impresion en este caso un 10 de CD de un defecto de una altura y anchura determinadas varia con el tono Tenga en cuenta que incluso la rugosidad de la superficie en la multicapa aqui puede tener un impacto notable La reduccion de defectos en las mascaras ultravioletas extremas UVE es actualmente uno de los problemas mas criticos que deben abordarse para la comercializacion de la litografia UVE 165 Los defectos se pueden enterrar debajo o dentro de la pila multicapa 166 o estar encima de la pila multicapa Mesas o protuberancias se forman en los objetivos de pulverizacion utilizados para la deposicion de multiples capas que pueden caer como particulas durante la deposicion de multiples capas 167 De hecho los defectos de la altura de la escala atomica 0 3 0 5 nm con FWHM de 100 nm aun pueden imprimirse al exhibir un 10 de impacto de CD 168 IBM y Toppan informaron en Photomask Japan 2015 que los defectos mas pequenos por ejemplo el tamano de 50 nm pueden tener un 10 de impacto de CD incluso con una altura de 0 6 nm sin embargo permanecen indetectables 169 Ademas el borde de un defecto de fase reducira aun mas la reflectividad en mas del 10 si su desviacion de la planitud supera los 3 grados debido a la desviacion del angulo de incidencia objetivo de 84 grados con respecto a la superficie Incluso si la altura del defecto es poco profunda el borde aun deforma la capa multicapa superior produciendo una region extendida donde la capa multiple esta inclinada Cuanto mas brusca sea la deformacion mas estrecha sera la extension del borde del defecto mayor sera la perdida de reflectividad La reparacion de defectos de la mascara UVE tambien es mas complicada debido a la variacion de la iluminacion a traves de la rendija mencionada anteriormente Debido a la sensibilidad variable del sombreado en la ranura la altura de deposicion de la reparacion debe controlarse con mucho cuidado siendo diferentes en diferentes posiciones en la ranura de iluminacion de la mascara UVE 170 Dano multicapa Editar Multiples pulsos de UVE a menos de 10 mJ cm2 podrian acumular danos en un elemento optico de espejo multicapa Mo Si con casquillo Ru 171 El angulo de incidencia fue de 16 o 0 28 rad que esta dentro del rango de angulos para un sistema optico de 0 33 NA Peliculas Editar Las herramientas de produccion de UVE necesitan una pelicula para proteger la mascara de la contaminacion Actualmente la peliculas aun no esta garantizada para soportar 250 W de potencia necesaria para la fabricacion de alto volumen La especificacion es de 40 W 172 Normalmente se espera que las peliculas protejan la mascara de las particulas durante el transporte la entrada o salida de la camara de exposicion asi como la exposicion misma Sin las peliculas los agregadores de particulas reducirian el rendimiento lo que no ha sido un problema para la litografia optica convencional con luz y peliculas de 193 nm Sin embargo para UVE la viabilidad del uso de peliculas se ve seriamente desafiada debido a la delgadez requerida de las peliculas protectoras para evitar la absorcion excesiva de UVE La contaminacion de particulas seria prohibitiva si las peliculas no fueran estables por encima de 200 W es decir la potencia objetivo para la fabricacion 173 El calentamiento de la pelicula de la mascara UVE temperatura de la pelicula hasta 750 K para 80 W de potencia incidente es una preocupacion importante debido a la deformacion resultante y la disminucion de la transmision 174 ASML desarrollo una membrana de pelicula de polisilicio de 70 nm de espesor que permite una transmision UVE del 82 sin embargo menos de la mitad de las membranas sobrevivieron a los niveles de potencia de UVE esperados 175 Las membranas de pelicula de SiNx tambien fallaron a niveles de potencia de fuente de UVE equivalentes a 82 W 176 En los niveles objetivo de 250 W se espera que la pelicula alcance los 686 grados centigrados 177 Bien por encima del punto de fusion del aluminio Los materiales alternativos deben permitir una transmision suficiente asi como mantener la estabilidad mecanica y termica Sin embargo el grafito grafeno u otros nanomateriales de carbono nanosheets nanotubos estan danados por UVE debido a la liberacion de electrones 178 y tambien muy facilmente grabado en el plasma de limpieza de hidrogeno que se espera que se despliegue en los escaneres UVE 179 Plasmas de hidrogeno tambien pueden grabar silicio tambien 180 181 Un recubrimiento ayuda a mejorar la resistencia al hidrogeno pero esto reduce la transmision y o la emisividad y tambien puede afectar la estabilidad mecanica por ejemplo abultamiento 182 La falta actual de cualquier material de pelicula adecuado agravado por el uso de la limpieza con plasma de hidrogeno en el escaner UVE 183 184 Presenta un obstaculo a la produccion en volumen 185 En ausencia de peliculas la limpieza de la mascarilla de UVE deberia verificarse antes de que se expongan las obleas reales del producto utilizando laminas especialmente preparadas para la inspeccion de defectos 186 Estas obleas se inspeccionan despues de la impresion para detectar defectos que indiquen una mascara sucia si se encuentra alguno la mascara debe limpiarse y se expone otro conjunto de obleas de inspeccion repitiendo el flujo hasta que la mascara este limpia Cualquier oblea de producto afectada debe ser reelaborada Defectos de abultamiento de hidrogeno Editar Como se menciono anteriormente con respecto a la eliminacion de la contaminacion el hidrogeno utilizado en los sistemas recientes de UVE puede penetrar en las capas de mascara de UVE Una vez atrapados se produjeron defectos de bulto 159 Estos son esencialmente los defectos de las ampollas que surgen despues de un numero suficiente de exposiciones con mascarillas UVE en el ambiente de hidrogeno Limites de escala de rendimiento EditarLa resolucion de la litografia UVE para el futuro enfrenta desafios para mantener el rendimiento es decir cuantas obleas son procesadas por una herramienta UVE por dia Estos desafios surgen de campos mas pequenos espejos adicionales y ruido de disparo Para mantener el rendimiento la potencia en el enfoque intermedio IF debe aumentarse continuamente Campos reducidos Editar Reduccion del tamano del campo por desmagnificacion El aumento de la desmagnificacion de 4X a 8X en una dimension dividiria el campo de imagen completo original en dos partes para preservar la misma area de matriz 26 mm 33 mm Costura en el campo La combinacion de campos de exposicion es una preocupacion donde las caracteristicas criticas cruzan un limite de campo linea de puntos roja La preparacion de una lente anamorfica con una NA entre 0 5 y 0 6 esta en marcha a partir de 2016 La desmagnificacion sera 8X en una dimension y 4X en la otra y el angulo de reflexion aumentara 187 Una mayor desmagnificacion aumentara el tamano de la mascara o reducira el tamano del campo impreso El tamano reducido del campo dividiria los patrones de chips de tamano completo que normalmente ocupan 26 mm x 33 mm entre dos o mas mascaras de UVE convencionales de 6 pulgadas Fichas grandes que se acercan o superan los 500 mm generalmente utilizadas para GPU 188 o servidores 189 tendrian que ser cosidos juntos de dos o mas sub patrones de diferentes mascaras 190 Sin costuras de campo el tamano del troquel seria limitado Con la costura de campo las caracteristicas que cruzan los limites del campo tendrian errores de alineacion y el tiempo adicional requerido para cambiar las mascaras reduciria el rendimiento del sistema UVE 191 Ruido de disparo el limite de resolucion estadistica Editar Ruido de disparo causando variaciones significativas de CD Un conjunto de agujeros con un patron de paso de 64 nm muestra los efectos significativos del ruido de disparo con una dosis de UVE de poco mas de 10 mJ cm2 Una dosis mayor resultaria en el mismo rango de variacion en un tamano de muestra mayor Con la distribucion de Poisson natural debido a los tiempos aleatorios de llegada y absorcion de los fotones 192 193 hay una variacion de la dosis natural esperada numero de fotones de al menos varios 3 sigma lo que hace que el proceso de exposicion sea susceptible a variaciones estocasticas La variacion de la dosis conduce a una variacion de la posicion del borde de la caracteristica convirtiendose efectivamente en un componente borroso A diferencia del limite de resolucion rigido impuesto por la difraccion el ruido de disparo impone un limite mas suave siendo la pauta principal la especificacion de rugosidad de ancho de linea LWR ITRS del 8 3s del ancho de linea 194 Aumentar la dosis reducira el ruido de disparo 195 pero esto tambien requiere una mayor fuente de energia Una region caracteristica de asistencia de 10 nm de ancho 10 nm de largo a una dosis no imprimible de 15 mJ cm2 con un 10 de absorcion se define por poco mas de 100 fotones lo que conduce a un ruido de 6s del 59 que corresponde a un rango de dosis estocastico de 6 a 24 mJ cm2 que podria afectar la capacidad de impresion Un estudio realizado en 2017 por Intel mostro que para las vias semiaisladas cuyo disco Airy puede ser aproximado por un gaussiano la sensibilidad del CD a la dosis fue particularmente fuerte 196 lo suficientemente fuerte como para que una reduccion de la dosis pudiera llevar no linealmente a no imprimir la via Dosis minima para restringir el ruido de disparo para las areas de tolerancia de variacion de proceso Ancho de tolerancia Area de tolerancia Dosis para 3s 7 de ruido 1800 fotones UVE absorbidos 33 de absorcion 4 nm 16 nm 496 mJ cm 2 nm 4 nm 1980 mJ cm Un area de tolerancia de variacion de proceso es la region mas grande sobre la cual se permite la variacion de proceso Los dos problemas del ruido de disparo y los electrones liberados por UVE senalan dos factores limitantes 1 mantener la dosis lo suficientemente alta para reducir el ruido de disparo a niveles tolerables pero tambien 2 evitar una dosis demasiado alta debido a la mayor contribucion de los fotoelectrones liberados por UVE y los electrones secundarios resisten el proceso de exposicion lo que aumenta el desenfoque del borde y por lo tanto limita la resolucion Aparte del impacto de la resolucion una dosis mas alta tambien aumenta la desgasificacion 197 y limita el rendimiento y la reticulacion 198 Ocurre en dosis muy altas Para resistencias quimicamente amplificadas una mayor exposicion a dosis tambien aumenta la rugosidad del borde de la linea debido a la descomposicion del generador de acido 199 Como se menciono anteriormente una resistencia mas absorbente en realidad conduce a una uniformidad de dosis vertical menor Esto tambien significa que el ruido de disparo es peor hacia la parte inferior de una capa de resistencia UVE altamente absorbente Incluso con una mayor absorcion UVE tiene un problema de ruido de disparo mayor que la longitud de onda de ArF 193 nm principalmente porque se aplica a dimensiones mas pequenas y los objetivos de dosis actuales son mas bajos debido a los niveles de potencia de fuente disponibles actualmente Longitud de onda Tipo de resistencia Absorbencia Espesor Absorcion Dosis objetivo Dosis de fotones absorbidosArF 193 nm CAR 1 2 mm 200 0 08 mm 9 30 mJ cm 201 27 fotones nm UVE 13 5 nm CAR 5 mm 202 0 05 mm 22 30 mJ cm 203 4 5 fotones nm UVE 13 5 nm oxido metalico 20 mm 202 0 02 mm 33 30 mJ cm 203 7 fotones nm Como se puede ver arriba a los niveles de dosis objetivo objetivo se absorben significativamente menos fotones de UVE en resistencias de UVE en comparacion con fotones de ArF en resistencias de ArF A pesar de la mayor transparencia de la resistencia el flujo de fotones incidente es aproximadamente 14 veces mayor 193 13 5 para la misma dosis de energia por unidad de area El grosor de la resistencia esta limitado por la transparencia y tambien por el colapso de la resistencia 204 y resistir la tira 205 consideraciones Uptime y productividad EditarEl rendimiento actual en el sitio del cliente es de 1200 obleas por dia con 80 de disponibilidad 206 mientras que las herramientas convencionales producen 5000 obleas por dia con un 95 de disponibilidad 207 A partir de 2017 el costo de un proceso de 7 nm con 3 capas metalicas modeladas por una sola exposicion a UVE sigue siendo un 20 mas alto que el proceso actual sin UVE de 10 nm 208 Por lo tanto se han implementado multiples patrones con litografia de inmersion para la fabricacion en volumen mientras que se espera el despliegue de UVE en 2018 2020 Historial de despliegue EditarEl despliegue de LUVE para la fabricacion en volumen se ha retrasado durante una decada 209 210 aunque los pronosticos para el despliegue tenian plazos de 2 a 5 anos El despliegue fue el objetivo en 2007 5 anos despues de haberse realizado el pronostico en 2002 209 en 2009 5 anos despues del pronostico en 2012 2013 3 4 anos en 2013 2015 2 4 anos 211 212 en 2016 2017 2 3 anos 213 y en 2018 2020 2 4 anos despues de las previsiones 214 Sin embargo el despliegue podria retrasarse aun mas 215 Los envios del sistema NXE 3350 comenzaron a fines de 2015 con un rendimiento declarado de 1250 obleas dia o 65 obleas por hora WPH suponiendo un tiempo de actividad del 80 216 217 En comparacion la base instalada de 300 unidades de los sistemas de inmersion NXT 193 nm tenia una disponibilidad del 96 y 275 WPH en 2015 218 219 Ano WPH pronostico WPH Disponibilidad Pronostico disp 2014 55 220 70 221 50 220 2015 55 222 75 220 125 221 70 223 70 220 2016 85 223 125 221 80 223 80 220 2017 125 223 85 223 2018 140 223 90 223 En 2010 2016 se enviaron veinte unidades de UVE menos del numero que se requeriria para la fabricacion en volumen En comparacion ASML envio mas de 60 sistemas de inmersion NXT 193 nm en 2016 y pronostica que se enviaran 48 unidades UVE en 2019 224 225 Seis NXE 3100 unidades fueron enviadas en 2010 2011 226 Ocho unidades NXE 3300B se enviaron en 2013Q3 2015Q1 219 menos que el pronostico de 11 unidades 227 Dos unidades NXE 3350B fueron enviadas a finales de 2015 218 en comparacion con un pronostico de seis unidades 219 Se enviaron cuatro unidades en 2016 en comparacion con un pronostico de seis o siete unidades desde el inicio del ano 228 A partir de 2016 se pronosticaron 12 unidades para enviar en 2017 228 y 24 unidades en 2018 224 Sin embargo el pronostico de envio para 2017 se redujo a la mitad a principios de ano a seis o siete unidades 229 Esta previsto que el NXE 3350B se suspenda para 2017 para ser reemplazado por el NXE 3400B En el momento del envio del primer NXE 3400B 230 ocho sistemas NXE 3300B y seis sistemas NXE 3350B estaban funcionando en el campo 231 Un total de diez sistemas NXE3400B fueron enviados en 2017 232 En el primer trimestre de 2018 se enviaron tres sistemas UVE 233 En el Q2 2018 se enviaron 4 mas 234 Problemas en curso para mejorar Editar Se anuncio la introduccion de NXE 3400C en 2019 incluidas caracteristicas que se enfocaron en mejorar significativamente el tiempo de actividad como un diseno modular para un cambio mas rapido un suministro continuo de estano y un mejor control de la degradacion del colector 235 Sin embargo las mejoras de aberracion aun no se han implementado ya que las aberraciones deben medirse directamente in situ primero 236 Usar con patrones multiples Editar Distribucion del diseno de la UVE debido a diferentes iluminaciones Este diseno consta de lineas verticales densas y lineas horizontales dispersas que requieren dos iluminaciones diferentes optimizadas para cada una En consecuencia seria necesario dividirlo incluso para la litografia UVE Se anticipa que UVE usara un patron doble por debajo de 34 nm de inclinacion 237 Esta resolucion es equivalente a 1Y para DRAM 238 239 En el H2 2018 TSMC confirmo que su esquema UVE de 5 nm todavia usaba patrones multiples 240 tambien indica que el recuento de mascaras no disminuyo de su nodo de 7 nm que uso un extenso modelado multiple de DUV a su nodo de 5 nm que uso una UVE extensa 241 Los proveedores de EDA tambien indicaron el uso continuo de flujos de multiples patrones 242 243 Mientras que Samsung introdujo su propio proceso de 7 nm con un solo patron UVE 244 encontro un ruido intenso de disparo de fotones que causo una excesiva rugosidad de la linea lo que requirio una dosis mas alta lo que resulto en un menor rendimiento 192 El nodo de 5 nm de TSMC usa reglas de diseno aun mas estrictas 245 Samsung indico que las dimensiones mas pequenas tendrian un ruido de disparo mas severo 192 En el esquema de litografia complementaria de Intel a medio paso de 20 nm UVE se usaria solo en una segunda exposicion de corte de linea despues de una primera exposicion de impresion de linea de 193 nm 246 Tambien se esperaran multiples exposiciones donde dos o mas patrones en la misma capa por ejemplo diferentes tonos o anchos deben usar diferentes formas de pupilas de fuente optimizadas 247 248 249 250 Por ejemplo cuando se considera una matriz de barras escalonadas de paso vertical de 64 nm cambiar el tono horizontal de 64 a 90 nm cambia la iluminacion optimizada significativamente 31 La optimizacion de la mascara de origen que se basa en las rejillas de espacio de linea y las rejillas de punta a punta solo no implica mejoras para todas las partes de un patron logico por ejemplo una zanja densa con un hueco en un lado 251 252 Para el paso metalico de 24 36 nm se encontro que el uso de UVE como segunda exposicion de corte tenia una ventana de proceso significativamente mas amplia que como una exposicion individual completa para la capa de metal 253 Tambien se esperan multiples exposiciones de la misma mascara para el manejo de defectos sin peliculas lo que limita la productividad de manera similar a los patrones multiples 186 Extension de un solo patron Anamorfico Alto NA EditarUn retorno a generaciones extendidas de patrones de exposicion unica seria posible con herramientas de apertura numerica NA mas altas Una NA de 0 45 utilizando una longitud de onda de 13 5 nm podria requerir un reajuste de un pequeno porcentaje 254 El aumento de la desmagnificacion podria evitar este reajuste pero el tamano reducido del campo afecta severamente a los patrones grandes un troquel por campo de 26 mm x 33 mm como los chips Xeon de 14 nm de varios nucleos con transistores de 14 nm 255 que requieren costura de campo En 2015 ASML revelo detalles de su escaner anamorfico UVE de proxima generacion longitud de onda de 13 5 nm con un NA de 0 55 La desmagnificacion se incrementa de 4x a 8x solo en una direccion en el plano de incidencia 256 Sin embargo el 0 55 NA tiene una profundidad de enfoque mucho menor que la litografia de inmersion 257 Ademas se ha encontrado que una herramienta anamorfica 0 52 NA exhibe demasiada variabilidad de colocacion y CD para la exposicion unica de nodos de 5 nm y el corte de patrones multiples 258 La reduccion de la profundidad 259 de enfoque al aumentar la NA tambien es una preocupacion 260 especialmente en comparacion con las exposiciones multipatronicas que utilizan litografia de inmersion de 193 nm longitud de onda indice de refraccion NA PDE normalizada 193 nm 1 44 1 35 113 5 nm 1 0 33 1 1713 5 nm 1 0 55 0 4Las primeras herramientas de alta NA se esperan para 2020 como muy pronto 261 Mas alla de la longitud de onda UVE EditarUna longitud de onda mucho mas corta 6 7 nm estaria mas alla de la UVE y a menudo se la denomina BEUV beyond extreme ultraviolet mas alla del ultravioleta extremo Una longitud de onda mas corta tendria peores efectos de ruido de disparo sin garantizar una dosis suficiente 262 Referencias Editar La semana en resumen Fabricacion GloFo busca liderazgo de 7nm 25 de mayo de 2016 SemiWiki com Samsung 10nm and 7nm Strategy Explained www semiwiki com TSMC comenzara la produccion de 10nm este ano reclama 5nm para 2020 ExtremeTech 20 de enero de 2016 ASML inicia NXE envio 3400C pero las restricciones de suministro se ciernen 17 de octubre de 2019 ASML Q2 2013 report Singer Pete 28 de enero de 2020 ISS Perspectivas 2020 para UVE Semiconductor Digest en ingles estadounidense Consultado el 16 de mayo de 2020 Los costos de la herramienta UVE alcanzan los 120 millones EETimes 19 de noviembre de 2010 Los principales fabricantes de chips observan la litografia EUV para salvar la ley de Moore IEEE Spectrum 31 de octubre de 2016 The list price of ASML s newest EUV machine exceeds 100 million Why EUV Is So Difficult Semiconductor Engineering en ingles estadounidense 17 de noviembre de 2016 Consultado el 16 de mayo de 2020 Limpieza de colectores EUV H Komori et al Proc SPIE 5374 pp 839 846 2004 B A M Hansson et al Proc SPIE 4688 pp 102 109 2002 S N Srivastava et al J Appl Phys 102 023301 2007 H S Kim El futuro de los dispositivos de memoria y la litografia EUV 2009 EUV Symposium Archivado desde el original el 10 de julio de 2015 Consultado el 1 de febrero de 2019 H Mizoguchi Actualizacion de Gigaphoton de fuente de luz EUV de plasma producida por laser Taller de fuente de EUVL 12 de mayo de 2008 ASML heeft nieuwe megahal hard nodig Akira Endo Hideo Hoshino Takashi Suganuma Masato Moriya Tatsuya Ariga Yoshifumi Ueno Masaki Nakano Takeshi Asayama Tamotsu Abe Hiroshi Komori Georg Soumagne Hakaru Mizoguchi Akira Sumitani and Koichi Toyoda Laser Produced EUV Light Source Development for HVM EUVA Extreme Ultraviolet Lithography System Development Association Ted Cacouris Greg Rechtsteiner Will Conley Next generation DUV light source technologies for 10nm and below Cymer LLC 17075 Thornmint Court San Diego CA 92127 a b Dr Sascha Migura Carl Zeiss Optics for EUV Lithography SMT GmbH Oberkochen Germany Renzo Capelli Anthony Garetto Krister Magnusson Thomas Scherubl Scanner arc illumination and impact on EUV photomasks and scanner imaging Carl Zeiss Promenade 10 07745 Jena Germany Y Wang and Y Liu Proc SPIE 9283 928314 2014 R Capelli et al Proc SPIE 9231 923109 2014 Schmoeller Thomas Klimpel T Kim I F Lorusso G Myers A Jonckheere Rik Goethals Anne Marie Ronse K 14 de marzo de 2008 EUV pattern shift compensation strategies art no 69211B Proceedings of SPIE The International Society for Optical Engineering 6921 doi 10 1117 12 772640 via ResearchGate Tao Y 2005 Characterization of density profile of laser produced Sn plasma for 13 5 nm extreme ultraviolet source Appl Phys Lett 86 20 201501 doi 10 1063 1 1931825 T Kagawa K Nishihara A Sasaki F Koike Theoretical Simulation for Spectra Emitted from Sn and Xe ions as an EUV Light Source Department of Physics Nara Women s University Nara 630 8506 Japan I Fomenkov et al Adv Opt Tech 6 173 2017 Igor Fomenkov EUV Source for High Volume Manufacturing Performance at 250 W and 2017 Source Workshop Dublin Ireland R Rokitski et al Proc SPIE 7640 76401Q 2010 a b M van de Kerkhof et al Proc SPIE 10143 101430D 2017 a b Y Chen et al J Vac Sci Tech B35 06G601 2017 a b c d e Dr Hakaru Mizoguchi Hiroaki Nakarai Tamotsu Abe Krzysztof M Nowak Yasufumi Kawasuji Hiroshi Tanaka Yukio Watanabe Tsukasa Hori Takeshi Kodama Yutaka Shiraishi Tatsuya Yanagida Georg Soumagne Tsuyoshi Yamada Taku Yamazaki and Takashi Saitou High power lpp euv source with long collector mirror lifetime for high volume semiconductor manufacturing Hiratsuka facility 3 25 1 Shinomiya Hiratsuka Kanagawa 254 8567 JAPAN Martin A van den Brink Hans Jasper Steve D Slonaker Peter Wijnhoven Frans Klaassen Step and scan and step and repeat a technology comparison Proceedings Volume 2726 Optical Microlithography IX 1996 https doi org 10 1117 12 240936 Event SPIE s 1996 International Symposium on Microlithography 1996 Santa Clara CA United States Paetzel R 2003 Laseres excimeros para litografia de alta resolucion NA 193 nm Proc SPIE Optical Microlithography XVI 5040 1665 doi 10 1117 12 485344 Harilal S S 2006 Control espectral de las emisiones de los objetivos dopados con estano para la litografia ultravioleta extrema J Phys D 39 3 484 487 doi 10 1088 0022 3727 39 3 010 T Asayama et al Proc SPIE vol 8683 86831G 2013 Vadim Banine with the help of Rudy Peters David Brandt Igor Fomenkov Maarten van Kampen Andrei Yakunin Vladimir Ivanov and many other people of ASML and Cymer EUV lithography status future requirements and challenges EUVL Dublin a b P De Bisschop Stochastic effects in EUV lithography random local CD variability and printing failures J Micro Nanolith MEMS MOEMS 16 4 041013 2017 a b c P De Bisschop and E Hendrickx Proc SPIE 10583 105831K 2018 a b c A Narasimhan et al Proc SPIE 9422 942208 2015 a b N Felix et al Proc SPIE 9776 97761O 2015 S Bhattarai Phesis Thesis Estudio de la rugosidad del borde de linea e interacciones de electrones secundarios en fotorresistencias para litografia de EUV U Calif Berkeley 2017 S Lariviere et al Proc SPIE 10583 105830U 2018 J Heo et al Opt Exp 25 4621 2017 Torok et al Electrones secundarios en litografia EUV J Photopol Sci and Tech 26 625 2013 K Ishii and T Matsuda Jpn J Appl Phys 29 2212 1990 A Thete et al Proc SPIE 9422 94220A 2015 B tesis solar p 34 S Bhattarai Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography 2017 p 100 Archivado desde el original el 21 de octubre de 2017 Consultado el 1 de febrero de 2019 L Peters Doble patron conduce la carrera para 32 nm Semiconductor International 18 de octubre de 2007 M Sugawara et al J Vac Sci Tech B 21 2701 2003 a b Proceedings doi 10 1117 12 484986 pdf G McIntyre et al Proc SPIE vol 7271 72711C 2009 T Last et al Proc SPIE 9985 99850W 2016 a b T Last et al Proc SPIE vol 10143 1014311 2017 W Gao et al Proc SPIE vol 10143 101430I 2017 Requisitos de planitud de mascara de EUV Archivado desde el original el 26 de junio de 2015 Consultado el 1 de febrero de 2019 T Schmoeller et al Proc SPIE vol 6921 69211B 2008 P Liu et al Proc SPIE vol 8679 86790W 2013 a b M Sugawara et al Proc SPIE 9048 90480V 2014 X Chen et al Proc SPIE 10143 101431F 2017 X Chen et al Proc SPIE vol 10143 101431F 2017 ASML Productos TWINSCAN NXE 3400B asml com Archivado desde el original el 15 de diciembre de 2018 Consultado el 1 de febrero de 2019 a b X Liu et al Proc SPIE vol 9048 90480Q 2014 O Wood et al Proc SPIE 10450 1045008 2017 S Yoshitake et al EUV Requisitos de planitud de la mascara E beam Mascara Escritor Perspectiva del proveedor C S Choi et al Proc SPIE 9235 92351R 2014 http www lithoguru com textbook Chapter10 Figures ppt p 37 C A Mack Microlith World 9 4 25 2000 J S Petersen et al Proc SPIE 3546 288 1998 Copia archivada Archivado desde el original el 5 de febrero de 2017 Consultado el 1 de febrero de 2019 1 L Yuan et al Proc SPIE 8322 832229 2012 Estudio de la rugosidad del borde de la linea e interacciones de los electrones secundarios en las fotorresistencias para litografia EUV EECS en UC Berkeley Anderson Christopher Daggett Joe Naulleau Patrick 31 de diciembre de 2009 Corner rounding in EUV photoresist tuning through molecular weight PAG size and development time via www osti gov a b c E van Setten et al Proc SPIE 9661 96610G 2015 a b c d E van Setten et al Intl Symp on EUV Lithography 2014 V M Blanco Carballo et al Proc SPIE 10143 1014318 2017 a b c E van Setten et al Proc SPIE 9231 923108 2014 K van Ingen Schenau 2013 EUVL Symposium SemiWiki com SEMICON West Advanced Interconnect Challenges www semiwiki com SemiWiki com Exclusivo GLOBALFOUNDRIES revela el detalle del proceso de 7nm www semiwiki com L T Clark et al Microelec Journ 53 105 2016 ASML 2014 Investor Day slide 46 Archivado desde el original el 30 de agosto de 2017 Consultado el 1 de febrero de 2019 T S Eom et al Proc SPIE 8679 86791J 2013 K A Goldberg et al Proc SPIE 5900 59000G 2005 Y Liu and Y Li Opt Eng 55 095108 2016 a b R Saathof 1 de diciembre de 2018 Adaptive Optics to Counteract Thermal Aberrations System Design for EUV Lithography with Sub nm Precision T S Jota and R A Chipman Proc SPIE 9776 977617 2016 a b c El director de Mentor Graphics detalla los desafios para el control de la colocacion de bordes en 2020 nikonereview com M Habets et al Proc SPIE 9776 97762D 2016 M Bayraktar et al Opt Exp 22 30623 2014 F Jiang et al Proc SPIE vol 9422 94220U 2015 a b c d I Mochi et al Proc SPIE 9776 97761S 2015 Entendiendo el ruido de disparo de EUV D Civay et al Proc SPIE 9048 90483D 2014 T Last et al J Micro Nanolith MEMS MOEMS 15 043508 2016 A Y Je et al Proc SPIE 7823 78230Z 2010 T Huynh Bao et al Proc SPIE 9781 978102 2016 V Philipsen et al Proc SPIE 9235 92350J 2014 a b W Gillijns et al Proc SPIE 10143 1014314 2017 R Capelli et al Proc SPIE 9231 923109 2014 a b Y G Wang et al Proc SPIE 10143 1014320 2017 US Patent 9715170 S Nagahara et al Proc SPIE 7640 76401H 2010 L Pang et al Proc SPIE 7520 75200X 2009 Hsu Stephen D Liu Jingjing 1 de enero de 2017 Challenges of anamorphic high NA lithography and mask making Advanced Optical Technologies 6 3 4 doi 10 1515 aot 2017 0024 Semicon Japan 99 Archivado desde el original el 5 de agosto de 2017 Consultado el 1 de febrero de 2019 http slideplayer com slide 6214703 20 images 31 Image formation Number of diffracted orders jpg C Krautschik et al Proc SPIE 4343 392 2001 A Erdmann P Evanschitzky and T Fuhner Proc SPIE 7271 72711E 2009 A Erdmann et al J Micro Nanolith MEMS MOEMS 15 021205 2016 M Burkhardt and A Raghunathan Proc SPIE 9422 94220X 2015 Z Zhu et al Proc SPIE 5037 494 2003 V Philipsen et al Proc SPIE 10143 1014310 2017 Download Limit Exceeded citeseerx ist psu edu A Erdmann et al J Micro Nanolith MEMS MOEMS 15 2 021205 2016 a b c d e L Liebmann et al Proc SPIE 10148 101480F 2017 V Phiipsen et al Proc SPIE 10143 104310 2017 C H Chang et al Proc SPIE 5377 902 2004 T Devoivre et al MTDT 2002 L C Choo et al Proc SPIE vol 4000 1193 2000 J Word and K Sakajiri Proc SPIE 6156 61561I 2006 T Winkler et al Prod SPIE 5754 1169 2004 Y Borodovsky et al Proc SPIE 4754 1 2002 S S Yu et al Proc SPIE 8679 86791L 2013 a b A Erdmann et al Proc SPIE 10583 1058312 2018 Analisis de modo propio de campos EM en mascaras de UVE Mascara de desplazamiento de fase grabada con UVE de eficiencia ultra alta B L Henke et al J Appl Phys 48 pp 1852 1866 1977 Taller Internacional CNSE 2013 sobre Litografia EUV J Torok et al J Photopolymer Sci amp Tech 27 611 2014 a b Y Kandel et al Proc SPIE 10143 101430B 2017 D D Simone et al Proc SPIE 10143 101430R 2017 a b c A Thete et al Phys Rev Lett 266803 2017 L Wisehart et al Proc SPIE 9776 97762O 2016 Campo Electrico Hojas Planas de Carga hyperphysics phy astr gsu edu P de Schepper et al Proc SPIE 9425 942507 2015 M Dapor M Ciappa and W Fichtner J Micro Nanolith MEMS MOEMS 9 023001 2010 a b Z G Song et al J Phys D Appl Phys 30 1561 1997 J M Roberts et al Proc SPIE 7273 72731W 2009 G Denbeaux et al 2007 European Mask and Lithography Conference I Pollentier et al Proc SPIE vol 7972 797208 2011 J Y Park et al J Vac Sci Tech B29 041602 2011 J Hollenshead and L Klebanoff J Vac Sci amp Tech B 24 pp 118 130 2006 a b c d H atom based tin cleaning Departamento de Fisica y Astronomia de la Universidad de Rutgers www physics rutgers edu Archivado desde el original el 20 de diciembre de 2016 Consultado el 1 de febrero de 2019 Update of Resist Outgas Testing at EIDEC a b T Van de Ven et al J Appl Phys 123 063301 2018 e g The Denitridation of Nitrides Under Hydrogen C G van de Walle and B Tuttle THEORY OF HYDROGEN INTERACTIONS WITH AMORPHOUS SILICON in Amorphous and Heterogeneous Silicon Thin Films Fundamentals to Devices edited by H M Branz R W Collins H Okamoto S Guha and B Schropp MRS Symposia Proceedings Vol 557 MRS Pittsburgh Pennsylvania 1999 p 255 T Tanabe Y Yamanishi and S Imoto J Nucl Mat 191 194 439 1992 a b D T Elg et al J Vac Sci Tech A 34 021305 2016 Ampollas inducidas por el hidrogeno en multicapas de pelicula delgada I Y Jang et al Proc SPIE 9256 92560I 2014 Hydrogen penetration of Ru and Pd Ru Pantisano L Schram Tom Li Z Lisoni Judit Pourtois Geoffrey De Gendt Stefan P Brunco D Akheyar A Afanas ev V V Shamuilia Sheron Stesmans A 12 de junio de 2006 Ruthenium gate electrodes on SiO2 and HfO2 Sensitivity to hydrogen and oxygen ambients Applied Physics Letters APPL PHYS LETT 88 doi 10 1063 1 2212288 via ResearchGate Hydrogen penetration of boron carbide a b S S Kim et al Proc SPIE 10143 1014306 2017 Cribado de capas de recubrimiento de resistencia a la oxidacion B Thedjoisworo et al J Vac Sci Tech A 30 031303 2012 Plasma de hidrogeno para la fotoproteccion Resistencia al oxido de metal Thedjoisworo Bayu Cheung David Crist Vince 2013 Comparacion de los efectos de los plasmas basados en H2 y O2 en la eliminacion de la fotoproteccion el silicio y el nitruro de silicio Diario de Ciencia y Tecnologia de Vacio B Nanotecnologia y Microelectronica Materiales Procesamiento Medicion y Fenomenos 31 2 021206 ISSN 2166 2746 doi 10 1116 1 4792254 Ponerse al dia con los requisitos de la hoja de ruta para la litografia con rayos UV extremos spie org Metodos rapidos de simulacion para la fase no plana y los defectos de multiples capas en las fotomascaras de litografia y DUV y EUV berkeley edu H Yu et al J Vac Sci Tech A31 021403 2013 S Huh et al Proc SPIE 7271 2009 K Seki et al Proc SPIE 9658 96580G 2015 A Garetto et al J Micro Nanolith MEMS MOEMS 13 043006 2014 M Muller et al Appl Phys A vol 108 263 2012 2016 EUV Mask Pellicle TWG update EUVL activities in South Korea including Samsung and SKHynix I S Kim et al Proc SPIE vol 8322 83222X 2012 C Zoldeski et al Proc SPIE vol 9048 90481N 2014 D L Goldfarb Dec 2015 BACUS Newsletter EUV Pellicle Uptime And Resist Issues Continue A Gao et al J Appl Phys 114 044313 2013 E Gallagher et al Proc SPIE vol 9635 96350X 2015 C Ghica et al Rom Rep in Phys vol 62 329 340 2010 L Juan et al Chin Phys B vol 22 105101 2013 I Pollentier et al Proc SPIE vol 10143 101430L 2017 H Oizumi et al Proc SPIE vol 5751 1147 2005 K Motai et al Proc SPIE vol 6517 65170F 2007 Y Nagaoka and J Miyazaki Proc SPIE vol 9635 963510 2015 a b H J Levinson and T A Brunner Proc SPIE 10809 1080903 2018 5nm Fab Challenges 20 de enero de 2016 ASML is developing an anamorphic lens for EUV The two axis EUV lens would support 8x magnification in the scan mode and 4x in the other direction It would support 0 5 to 0 6 NAs The EUV scanner could take a throughput hit It would expose the wafer at only half the field size as opposed to full field sizes with today s EUV scanners Hilbert Hagedoorn GeForce GTX 780 review Guru3D com Intel Xeon E5 2600 v3 J T Neumann et al Proc SPIE vol 8522 852211 2012 K Takehisa Proc SPIE vol 8701 87010T 2013 a b c H W Kim et al Proc SPIE 7636 76360Q 2010 S M Kim et al Proc SPIE 9422 94220M 2015 B Baylav Reduccion de la rugosidad del borde de la linea LER en la litografia de campo grande tipo interferencia PhD dissertation p 37 2014 Z Y Pan et al Proc SPIE 6924 69241K 2008 R L Bristol and M E Krysak Proc SPIE 10143 101430Z 2017 2013 Nissan Chemical Industries 2013 International Workshop on EUV Lithography T G Oyama et al Appl Phys Exp 7 036501 2014 T Kozawa Jpn J Appl Phys 51 06FC01 2012 Photoresist ABCs ASML Products TWINSCAN NXT 1980Di www asml com Archivado desde el original el 5 de diciembre de 2018 Consultado el 2 de febrero de 2019 a b EUV Photoresists a b ASML 2016 EUVL Workshop New solutions for resist Archivado desde el original el 27 de agosto de 2017 Consultado el 2 de febrero de 2019 Metal oxide resist strip 2016 EUV source workshop ASML update Tartwijk Stu Woo and Maarten van Can This Little Known Chip Company Preserve Moore s Law V M Blanco Carballo et al Proc SPIE 10143 1014318 2017 a b 2 Archived copy Archivado desde el original el 24 de julio de 2016 Consultado el 2 de febrero de 2019 Myslewski Rik 25 de febrero de 2014 First production ready EUV scanner laser fries its guts at TSMC Intel seeks alternative tech The Register en ingles McGrath Dyaln 22 de noviembre de 2011 Issues of EUV lithography EE Times Asia en ingles TSMC orders production EUV systems Optics org en ingles 24 de noviembre de 2014 ASML boosted by extreme UV orders Optics org en ingles 20 de julio de 2016 Vogler Debra 24 de mayo de 2016 EUVL Taking It Down to 5nm Semi en ingles Archivado desde el original el 15 de agosto de 2016 ASML Has Record Revenue for 2015 Will Raise Dividend Buy Back More Stock Semiconductor Manufacturing amp Design Community Tiernan Ray ASML Gets a Lift From TSM Though Questions on EUV Remain Barrons a b ASML 2015 Cuarto trimestre y resultados anuales 2015 a b c Resultados del segundo trimestre de ASML 2015 a b c d e Frits van Hout 24 de noviembre de 2014 EUV ASML Consultado el 14 de diciembre de 2016 a b c ASML ASML reports 2013 results US Securities and Exchange Commission Consultado el 16 de julio de 2014 We remain on target to deliver EUV systems with a throughput of 70 wafers per hour in 2014 upgradeable to 125 wafers per hour in 2015 ASML 2015 Third Quarter Results a b c d e f g Hans Meiling 31 de octubre de 2016 Role of EUV and its Business Opportunity ASML Archivado desde el original el 20 de diciembre de 2016 Consultado el 15 de diciembre de 2016 a b ASML reafirma las expectativas litograficas de EUV 19 de octubre de 2016 Asml20170118presentation R Peeters et al Proc SPIE 8679 86791F 2013 ASML delays EUV tool revenue recognition 18 de abril de 2012 a b ASML confia en los objetivos de EUV 2016 El gigante de la litografia holandes espera enviar al menos seis sistemas a medida que los clientes apunten a la rampa de produccion de 2018 20 de enero de 2016 ASML Holding s ASML CEO Peter Wennink on Q4 2016 Results Earnings Call Transcript 18 de enero de 2017 ASML ships first XNE 3400B EUV Progress Hurdles Cited 2018 SPIE Advanced Lithography EUVL Conference Update EUVL Focus electroiq com Archivado desde el original el 26 de enero de 2019 Consultado el 2 de febrero de 2019 ASML Prensa Comunicados de prensaLa demanda fuerte de DUV impulsa los resultados solidos del primer trimestre y confirma las perspectivas positivas para 2018 Multiples pedidos de UVE incluida alta NA demuestra una mayor adopcion de la tecnologia de UVE Comunicados de prensaLa fuerte demanda de DUV impulsa resultados solidos en el primer trimestre y confirma una perspectiva positiva para 2018 Multiples pedidos de UVE incluida alta NA demuestran una mayor adopcion de la tecnologia de UVE www asml com ASML Prensa Comunicados de prensaEl crecimiento de las ganancias continua impulsado por fuertes ventas en toda la cartera de productos El progreso continuo de EUV permite la aceleracion de la hoja de ruta de ASML Comunicados de prensa El crecimiento de las continuaciones impulsado por fuertes ventas en toda la cartera de productos El progreso continuo de la EUV permite la aceleracion de roadmap de ASML www asml com Gerven Paul van 31 de enero de 2019 De 3400C is de euv machine die ASML altijd heeft willen bouwen Bits amp Chips en neerlandes M van de Kerkhof et al Proc SPIE 10583 105830S 2018 D De Simone et al Advanced Lithography 2019 10957 21 Techinsights Samsung DRAM Samsung 1y is 16 nm SemiWiki com Top 10 Highlights from the TSMC Open Innovation Platform Ecosystem Forum www semiwiki com DAC 2018 TSMC Arm Synopsys Breakfast www synopsys com Archivado desde el original el 5 de octubre de 2018 Consultado el 2 de febrero de 2019 Cadence logra la certificacion EDA para TSMC 5nm y 7nm FinFET Process Technologies para facilitar la creacion de diseno movil y HPC Plataformas de diseno personalizado y digital Synopsys certificadas en tecnologia de proceso basada en EUV TSMC 5 nm Design And Reuse DAC 2018 Samsung Synopsys Breakfast www synopsys com Archivado desde el original el 5 de octubre de 2018 Consultado el 2 de febrero de 2019 TSMC 5nm in 2019 Intel presentation on Complementary Lithography at 2012 International Workshop on EUV Lithography EUV was never going to be single patterning Archivado desde el original el 20 de noviembre de 2018 Consultado el 2 de febrero de 2019 S Hsu et al Proc SPIE 4691 476 2002 X Liu et al Proc SPIE 9048 90480Q 2014 S Y Oh et al Proc SPIE 4691 1537 2002 UVE nunca iba a ser un patron unico www semiwiki com Archivado desde el original el 20 de noviembre de 2018 Consultado el 2 de febrero de 2019 D Rio et al Proc SPIE 10809 108090N 2018 UVE nunca iba a ser un patron unico www semiwiki com Archivado desde el original el 20 de noviembre de 2018 Consultado el 2 de febrero de 2019 J T Neumann et al Proc SPIE 8522 852211 2012 Los chips Xeon E5 2600 V4 de Intel presentan un insano 7 2 billones de transistores en un dado de 456mm2 J van Schoot et al Proc SPIE 9422 94221F 2015 B J Lin JM3 1 7 12 2002 E R Hosler et al Proc SPIE vol 9776 977616 2015 B J Lin J Microlith Microfab Microsyst 1 7 12 2002 B J Lin Microelec Eng 143 91 101 2015 Extendiendo UVE mas alla de 3nm Mojarad Nassir Gobrecht Jens Ekinci Yasin 18 de marzo de 2015 Beyond EUV lithography a comparative study of efficient photoresists performance Scientific Reports 5 1 9235 PMC 4363827 PMID 25783209 doi 10 1038 srep09235 Otras lecturas EditarBanqiu Wu and Ajay Kumar May 2009 Litografia Ultravioleta Extrema McGraw Hill Professional Inc ISBN 978 0 07 154918 9 Banqiu Wu and Ajay Kumar 2009 Litografia ultravioleta extrema hacia la proxima generacion de circuitos integrados Optics amp Photonics Focus 7 4 Enlaces relacionados EditarUVE presenta retos economicos La industria reflexiona sobre la longitud de onda de 6 7 nm UVE Datos Q371965 Multimedia Category Extreme ultraviolet lithography Obtenido de https es wikipedia org w index php title Litografia ultravioleta extrema amp oldid 139479771, wikipedia, wiki, leyendo, leer, libro, biblioteca,

español

, española, descargar, gratis, descargar gratis, mp3, video, mp4, 3gp, jpg, jpeg, gif, png, imagen, música, canción, película, libro, juego, juegos